-128 128 --> unsigned: us = 65408, us2 = 128 -128 128 --> signed: s = -128, s2 = 128 -128 128 --> unsigned: uc = 128, uc2 = 128 -128 128 --> signed: sc = -128, sc2 = -128 exit 0