summaryrefslogtreecommitdiff
path: root/results-vs-prev/csv-results-1
diff options
context:
space:
mode:
authorTCWG BuildSlave <tcwg-buildslave@linaro.org>2023-10-01 20:22:50 +0000
committerTCWG BuildSlave <tcwg-buildslave@linaro.org>2023-10-01 20:22:51 +0000
commit31afca77fa7e71325b72900114926c6a2823d2d3 (patch)
tree5d6a109bb0d50c91c290c809899701023691ad2f /results-vs-prev/csv-results-1
parent4702853f1bec2c824ea5935eb21af3971dac4d94 (diff)
onsuccess: #318: 1: [TCWG CI] https://ci.linaro.org/job/tcwg_bmk_ci_gnu_eabi-build-tcwg_bmk_stm32-gnu_eabi-master-arm_eabi-coremark-O3/318/
Results : | # reset_artifacts: | -10 | # build_abe binutils: | -9 | # build_abe stage1 -- --set gcc_override_configure=--disable-libsanitizer --set gcc_override_configure=--disable-multilib --set gcc_override_configure=--with-cpu=cortex-m4 --set gcc_override_configure=--with-mode=thumb --set gcc_override_configure=--with-float=hard: | -8 | # build_abe newlib: | -6 | # build_abe stage2 -- --set gcc_override_configure=--disable-libsanitizer --set gcc_override_configure=--disable-multilib --set gcc_override_configure=--with-cpu=cortex-m4 --set gcc_override_configure=--with-mode=thumb --set gcc_override_configure=--with-float=hard: | -5 | # benchmark -- -O3_mthumb: | 1 check_regression status : 0
Diffstat (limited to 'results-vs-prev/csv-results-1')
-rw-r--r--results-vs-prev/csv-results-1/perf.csv2
-rw-r--r--results-vs-prev/csv-results-1/results.csv37
-rw-r--r--results-vs-prev/csv-results-1/size.csv27
3 files changed, 32 insertions, 34 deletions
diff --git a/results-vs-prev/csv-results-1/perf.csv b/results-vs-prev/csv-results-1/perf.csv
index aad4623..0f671fd 100644
--- a/results-vs-prev/csv-results-1/perf.csv
+++ b/results-vs-prev/csv-results-1/perf.csv
@@ -1,2 +1,2 @@
benchmark,symbol,sample,dso
-coremark,coremark,14799,na
+coremark,coremark,14800,na
diff --git a/results-vs-prev/csv-results-1/results.csv b/results-vs-prev/csv-results-1/results.csv
index 479474e..4978480 100644
--- a/results-vs-prev/csv-results-1/results.csv
+++ b/results-vs-prev/csv-results-1/results.csv
@@ -1,10 +1,10 @@
benchmark,symbol,sample,size,num_vect_loops,num_sve_loops,symbol_md5sum
-Mean,mean,14799,28,-1,-1,-1
-Mean,geomean-successful-tests,14799,28,-1,-1,-1
-Mean,geomean-all-tests,14799,28,-1,-1,-1
-Mean,average-successful-tests,14799,346,-1,-1,-1
-Mean,average-all-tests,14799,346,-1,-1,-1
-coremark,coremark,14799,65404,-1,-1,-1
+Mean,mean,14800,29,-1,-1,-1
+Mean,geomean-successful-tests,14800,29,-1,-1,-1
+Mean,geomean-all-tests,14800,29,-1,-1,-1
+Mean,average-successful-tests,14800,347,-1,-1,-1
+Mean,average-all-tests,14800,347,-1,-1,-1
+coremark,coremark,14800,65452,-1,-1,-1
coremark,[.] abort,-1,14,-1,-1,-1
coremark,[.] ADC1_2_IRQHandler,-1,2,-1,-1,-1
coremark,[.] ADC3_IRQHandler,-1,2,-1,-1,-1
@@ -40,15 +40,14 @@ coremark,[.] _Balloc,-1,76,-1,-1,-1
coremark,[.] _Bfree,-1,18,-1,-1,-1
coremark,[.] BusFault_Handler,-1,2,-1,-1,-1
coremark,[.] calc_func,-1,138,-1,-1,-1
-coremark,[.] __call_exitprocs,-1,164,-1,-1,-1
+coremark,[.] __call_exitprocs,-1,156,-1,-1,-1
coremark,[.] _calloc_r,-1,108,-1,-1,-1
coremark,[.] CAN1_RX0_IRQHandler,-1,2,-1,-1,-1
coremark,[.] CAN1_RX1_IRQHandler,-1,2,-1,-1,-1
coremark,[.] CAN1_SCE_IRQHandler,-1,2,-1,-1,-1
coremark,[.] CAN1_TX_IRQHandler,-1,2,-1,-1,-1
coremark,[.] check_data_types,-1,4,-1,-1,-1
-coremark,[.] _cleanup,-1,20,-1,-1,-1
-coremark,[.] _cleanup_r,-1,12,-1,-1,-1
+coremark,[.] cleanup_stdio,-1,64,-1,-1,-1
coremark,[.] _close,-1,40,-1,-1,-1
coremark,[.] _close_r,-1,36,-1,-1,-1
coremark,[.] cmp_complex,-1,274,-1,-1,-1
@@ -105,7 +104,7 @@ coremark,[.] __errno,-1,12,-1,-1,-1
coremark,[.] __eucjp_mbtowc,-1,190,-1,-1,-1
coremark,[.] __eucjp_wctomb,-1,124,-1,-1,-1
coremark,[.] _exit,-1,20,-1,-1,-1
-coremark,[.] exit,-1,32,-1,-1,-1
+coremark,[.] exit,-1,28,-1,-1,-1
coremark,[.] __extendsfdf2,-1,66,-1,-1,-1
coremark,[.] EXTI0_IRQHandler,-1,2,-1,-1,-1
coremark,[.] EXTI15_10_IRQHandler,-1,2,-1,-1,-1
@@ -141,7 +140,7 @@ coremark,[.] _free_r,-1,508,-1,-1,-1
coremark,[.] frexp,-1,100,-1,-1,-1
coremark,[.] _fstat,-1,30,-1,-1,-1
coremark,[.] _fstat_r,-1,44,-1,-1,-1
-coremark,[.] _fwalk_reent,-1,72,-1,-1,-1
+coremark,[.] _fwalk_sglue,-1,70,-1,-1,-1
coremark,[.] __gedf2,-1,138,-1,-1,-1
coremark,[.] get_arg,-1,3080,-1,-1,-1
coremark,[.] _getenv_r,-1,16,-1,-1,-1
@@ -151,6 +150,7 @@ coremark,[.] _getpid_r,-1,4,-1,-1,-1
coremark,[.] get_seed_args,-1,14,-1,-1,-1
coremark,[.] get_time,-1,16,-1,-1,-1
coremark,[.] _gettimeofday,-1,40,-1,-1,-1
+coremark,[.] global_stdio_init.part.0,-1,208,-1,-1,-1
coremark,[.] __gtdf2,-1,138,-1,-1,-1
coremark,[.] HAL_GetTick,-1,12,-1,-1,-1
coremark,[.] HAL_IncTick,-1,24,-1,-1,-1
@@ -206,8 +206,8 @@ coremark,[.] __lshift,-1,240,-1,-1,-1
coremark,[.] __ltdf2,-1,130,-1,-1,-1
coremark,[.] main,-1,1660,-1,-1,-1
coremark,[.] __malloc_lock,-1,2,-1,-1,-1
-coremark,[.] _malloc_r,-1,1380,-1,-1,-1
-coremark,[.] _malloc_trim_r,-1,168,-1,-1,-1
+coremark,[.] _malloc_r,-1,1400,-1,-1,-1
+coremark,[.] _malloc_trim_r,-1,184,-1,-1,-1
coremark,[.] __malloc_unlock,-1,2,-1,-1,-1
coremark,[.] matrix_add_const,-1,208,-1,-1,-1
coremark,[.] matrix_mul_const,-1,74,-1,-1,-1
@@ -260,7 +260,7 @@ coremark,[.] RCC_SetFlashLatencyFromMSIRange,-1,140,-1,-1,-1
coremark,[.] _read,-1,128,-1,-1,-1
coremark,[.] _read_r,-1,44,-1,-1,-1
coremark,[.] _realloc_r,-1,836,-1,-1,-1
-coremark,[.] __register_exitproc,-1,104,-1,-1,-1
+coremark,[.] __register_exitproc,-1,100,-1,-1,-1
coremark,[.] remap_handle,-1,64,-1,-1,-1
coremark,[.] _rename,-1,82,-1,-1,-1
coremark,[.] Reset_Handler,-1,54,-1,-1,-1
@@ -288,13 +288,10 @@ coremark,[.] signal,-1,80,-1,-1,-1
coremark,[.] _signal_r,-1,78,-1,-1,-1
coremark,[.] __sigtramp,-1,104,-1,-1,-1
coremark,[.] __sigtramp_r,-1,100,-1,-1,-1
-coremark,[.] __sinit,-1,8,-1,-1,-1
-coremark,[.] __sinit_lock_acquire,-1,2,-1,-1,-1
-coremark,[.] __sinit_lock_release,-1,2,-1,-1,-1
-coremark,[.] __sinit.part.0,-1,212,-1,-1,-1
+coremark,[.] __sinit,-1,32,-1,-1,-1
coremark,[.] __sjis_mbtowc,-1,142,-1,-1,-1
coremark,[.] __sjis_wctomb,-1,86,-1,-1,-1
-coremark,[.] __smakebuf_r,-1,228,-1,-1,-1
+coremark,[.] __smakebuf_r,-1,220,-1,-1,-1
coremark,[.] SPI1_IRQHandler,-1,2,-1,-1,-1
coremark,[.] SPI2_IRQHandler,-1,2,-1,-1,-1
coremark,[.] SPI3_IRQHandler,-1,2,-1,-1,-1
@@ -304,6 +301,7 @@ coremark,[.] __sread,-1,34,-1,-1,-1
coremark,[.] __sseek,-1,34,-1,-1,-1
coremark,[.] start_time,-1,20,-1,-1,-1
coremark,[.] _stat,-1,78,-1,-1,-1
+coremark,[.] stdio_exit_handler,-1,24,-1,-1,-1
coremark,[.] stop_time,-1,20,-1,-1,-1
coremark,[.] strcasecmp,-1,76,-1,-1,-1
coremark,[.] strcat,-1,62,-1,-1,-1
@@ -332,6 +330,7 @@ coremark,[.] _swiwrite,-1,88,-1,-1,-1
coremark,[.] SWPMI1_IRQHandler,-1,2,-1,-1,-1
coremark,[.] __swrite,-1,62,-1,-1,-1
coremark,[.] __swsetup_r,-1,192,-1,-1,-1
+coremark,[.] sysconf,-1,26,-1,-1,-1
coremark,[.] _system,-1,86,-1,-1,-1
coremark,[.] SystemClock_Config,-1,144,-1,-1,-1
coremark,[.] SystemInit,-1,80,-1,-1,-1
diff --git a/results-vs-prev/csv-results-1/size.csv b/results-vs-prev/csv-results-1/size.csv
index 5becda7..f24d0fc 100644
--- a/results-vs-prev/csv-results-1/size.csv
+++ b/results-vs-prev/csv-results-1/size.csv
@@ -1,5 +1,5 @@
benchmark,symbol,size
-coremark,coremark,65404
+coremark,coremark,65452
coremark,[.] abort,14
coremark,[.] ADC1_2_IRQHandler,2
coremark,[.] ADC3_IRQHandler,2
@@ -35,15 +35,14 @@ coremark,[.] _Balloc,76
coremark,[.] _Bfree,18
coremark,[.] BusFault_Handler,2
coremark,[.] calc_func,138
-coremark,[.] __call_exitprocs,164
+coremark,[.] __call_exitprocs,156
coremark,[.] _calloc_r,108
coremark,[.] CAN1_RX0_IRQHandler,2
coremark,[.] CAN1_RX1_IRQHandler,2
coremark,[.] CAN1_SCE_IRQHandler,2
coremark,[.] CAN1_TX_IRQHandler,2
coremark,[.] check_data_types,4
-coremark,[.] _cleanup,20
-coremark,[.] _cleanup_r,12
+coremark,[.] cleanup_stdio,64
coremark,[.] _close,40
coremark,[.] _close_r,36
coremark,[.] cmp_complex,274
@@ -100,7 +99,7 @@ coremark,[.] __errno,12
coremark,[.] __eucjp_mbtowc,190
coremark,[.] __eucjp_wctomb,124
coremark,[.] _exit,20
-coremark,[.] exit,32
+coremark,[.] exit,28
coremark,[.] __extendsfdf2,66
coremark,[.] EXTI0_IRQHandler,2
coremark,[.] EXTI15_10_IRQHandler,2
@@ -136,7 +135,7 @@ coremark,[.] _free_r,508
coremark,[.] frexp,100
coremark,[.] _fstat,30
coremark,[.] _fstat_r,44
-coremark,[.] _fwalk_reent,72
+coremark,[.] _fwalk_sglue,70
coremark,[.] __gedf2,138
coremark,[.] get_arg,3080
coremark,[.] _getenv_r,16
@@ -146,6 +145,7 @@ coremark,[.] _getpid_r,4
coremark,[.] get_seed_args,14
coremark,[.] get_time,16
coremark,[.] _gettimeofday,40
+coremark,[.] global_stdio_init.part.0,208
coremark,[.] __gtdf2,138
coremark,[.] HAL_GetTick,12
coremark,[.] HAL_IncTick,24
@@ -201,8 +201,8 @@ coremark,[.] __lshift,240
coremark,[.] __ltdf2,130
coremark,[.] main,1660
coremark,[.] __malloc_lock,2
-coremark,[.] _malloc_r,1380
-coremark,[.] _malloc_trim_r,168
+coremark,[.] _malloc_r,1400
+coremark,[.] _malloc_trim_r,184
coremark,[.] __malloc_unlock,2
coremark,[.] matrix_add_const,208
coremark,[.] matrix_mul_const,74
@@ -255,7 +255,7 @@ coremark,[.] RCC_SetFlashLatencyFromMSIRange,140
coremark,[.] _read,128
coremark,[.] _read_r,44
coremark,[.] _realloc_r,836
-coremark,[.] __register_exitproc,104
+coremark,[.] __register_exitproc,100
coremark,[.] remap_handle,64
coremark,[.] _rename,82
coremark,[.] Reset_Handler,54
@@ -283,13 +283,10 @@ coremark,[.] signal,80
coremark,[.] _signal_r,78
coremark,[.] __sigtramp,104
coremark,[.] __sigtramp_r,100
-coremark,[.] __sinit,8
-coremark,[.] __sinit_lock_acquire,2
-coremark,[.] __sinit_lock_release,2
-coremark,[.] __sinit.part.0,212
+coremark,[.] __sinit,32
coremark,[.] __sjis_mbtowc,142
coremark,[.] __sjis_wctomb,86
-coremark,[.] __smakebuf_r,228
+coremark,[.] __smakebuf_r,220
coremark,[.] SPI1_IRQHandler,2
coremark,[.] SPI2_IRQHandler,2
coremark,[.] SPI3_IRQHandler,2
@@ -299,6 +296,7 @@ coremark,[.] __sread,34
coremark,[.] __sseek,34
coremark,[.] start_time,20
coremark,[.] _stat,78
+coremark,[.] stdio_exit_handler,24
coremark,[.] stop_time,20
coremark,[.] strcasecmp,76
coremark,[.] strcat,62
@@ -327,6 +325,7 @@ coremark,[.] _swiwrite,88
coremark,[.] SWPMI1_IRQHandler,2
coremark,[.] __swrite,62
coremark,[.] __swsetup_r,192
+coremark,[.] sysconf,26
coremark,[.] _system,86
coremark,[.] SystemClock_Config,144
coremark,[.] SystemInit,80