summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--01-reset_artifacts/console.log.xzbin0 -> 2300 bytes
-rw-r--r--01-reset_artifacts/patch-env.sh2
-rw-r--r--02-prepare_abe/console.log.xzbin0 -> 2512 bytes
-rw-r--r--03-build_abe-binutils/console.log.xzbin0 -> 26824 bytes
-rw-r--r--04-build_abe-stage1/console.log.xzbin0 -> 86844 bytes
-rw-r--r--05-clean_sysroot/console.log.xzbin0 -> 336 bytes
-rw-r--r--06-build_abe-newlib/console.log.xzbin0 -> 12260 bytes
-rw-r--r--07-build_abe-stage2/console.log.xzbin0 -> 161680 bytes
-rw-r--r--08-benchmark/benchmark-build.log194
-rw-r--r--08-benchmark/benchmark.log193
-rw-r--r--08-benchmark/console.log.xzbin0 -> 4048 bytes
-rw-r--r--09-check_regression/compare-results-vs-first-internal.csv7
-rw-r--r--09-check_regression/compare-results-vs-prev-internal.csv7
-rw-r--r--09-check_regression/console.log.xzbin0 -> 7136 bytes
-rw-r--r--09-check_regression/csv-results-vs-first-0/md5sum.csv1
-rw-r--r--09-check_regression/csv-results-vs-first-0/perf.csv2
-rw-r--r--09-check_regression/csv-results-vs-first-0/results.csv347
-rw-r--r--09-check_regression/csv-results-vs-first-0/size.csv341
-rw-r--r--09-check_regression/csv-results-vs-first-0/sve.csv1
-rw-r--r--09-check_regression/csv-results-vs-first-0/vect.csv1
-rw-r--r--09-check_regression/csv-results-vs-first-1/md5sum.csv1
-rw-r--r--09-check_regression/csv-results-vs-first-1/perf.csv2
-rw-r--r--09-check_regression/csv-results-vs-first-1/results.csv347
-rw-r--r--09-check_regression/csv-results-vs-first-1/size.csv341
-rw-r--r--09-check_regression/csv-results-vs-first-1/sve.csv1
-rw-r--r--09-check_regression/csv-results-vs-first-1/vect.csv1
-rw-r--r--09-check_regression/csv-results-vs-prev-0/md5sum.csv1
-rw-r--r--09-check_regression/csv-results-vs-prev-0/perf.csv2
-rw-r--r--09-check_regression/csv-results-vs-prev-0/results.csv347
-rw-r--r--09-check_regression/csv-results-vs-prev-0/size.csv341
-rw-r--r--09-check_regression/csv-results-vs-prev-0/sve.csv1
-rw-r--r--09-check_regression/csv-results-vs-prev-0/vect.csv1
-rw-r--r--09-check_regression/csv-results-vs-prev-1/md5sum.csv1
-rw-r--r--09-check_regression/csv-results-vs-prev-1/perf.csv2
-rw-r--r--09-check_regression/csv-results-vs-prev-1/results.csv347
-rw-r--r--09-check_regression/csv-results-vs-prev-1/size.csv341
-rw-r--r--09-check_regression/csv-results-vs-prev-1/sve.csv1
-rw-r--r--09-check_regression/csv-results-vs-prev-1/vect.csv1
-rw-r--r--09-check_regression/extra-bisect-params1
-rw-r--r--09-check_regression/mail-body.txt13
-rw-r--r--09-check_regression/mail-subject.txt1
-rw-r--r--09-check_regression/results-vs-first-brief.csv106
-rw-r--r--09-check_regression/results-vs-first-full.csv7
-rw-r--r--09-check_regression/results-vs-first-internal.csv98
-rw-r--r--09-check_regression/results-vs-first.csv98
-rw-r--r--09-check_regression/results-vs-first.log210
-rw-r--r--09-check_regression/results-vs-prev-brief.csv106
-rw-r--r--09-check_regression/results-vs-prev-compare.csv92
-rw-r--r--09-check_regression/results-vs-prev-full.csv7
-rw-r--r--09-check_regression/results-vs-prev-internal.csv98
-rw-r--r--09-check_regression/results-vs-prev.csv98
-rw-r--r--09-check_regression/results-vs-prev.log210
-rw-r--r--10-update_baseline/console.log0
-rwxr-xr-xdashboard/dashboard-generate.sh17
-rwxr-xr-xdashboard/dashboard-push.sh7
-rw-r--r--dashboard/squad-vs-first/Coremark/results-functional.json4
-rw-r--r--dashboard/squad-vs-first/Coremark/results-metadata.json10
-rw-r--r--dashboard/squad-vs-first/Coremark/results-metrics.json3
-rw-r--r--dashboard/squad-vs-first/Mean/results-functional.json4
-rw-r--r--dashboard/squad-vs-first/Mean/results-metadata.json10
-rw-r--r--dashboard/squad-vs-first/Mean/results-metrics.json3
-rw-r--r--dashboard/squad-vs-first/coremark/results-functional.json4
-rw-r--r--dashboard/squad-vs-first/coremark/results-metadata.json10
-rw-r--r--dashboard/squad-vs-first/coremark/results-metrics.json3
-rwxr-xr-xdashboard/squad-vs-first/dashboard-push-squad.sh733
-rw-r--r--dashboard/squad-vs-first/summary-annotation.txt0
-rw-r--r--git/binutils_rev1
-rw-r--r--git/binutils_url1
-rw-r--r--git/gcc_rev1
-rw-r--r--git/gcc_url1
-rw-r--r--git/newlib_rev1
-rw-r--r--git/newlib_url1
-rwxr-xr-xjenkins/dashboard-push.sh7
-rw-r--r--mail/mail-body.txt13
-rw-r--r--mail/mail-subject.txt1
-rw-r--r--manifest.sh30
-rw-r--r--results11
-rw-r--r--results_id1
-rw-r--r--results_id.first1
79 files changed, 5198 insertions, 0 deletions
diff --git a/01-reset_artifacts/console.log.xz b/01-reset_artifacts/console.log.xz
new file mode 100644
index 0000000..e77b982
--- /dev/null
+++ b/01-reset_artifacts/console.log.xz
Binary files differ
diff --git a/01-reset_artifacts/patch-env.sh b/01-reset_artifacts/patch-env.sh
new file mode 100644
index 0000000..f9923d9
--- /dev/null
+++ b/01-reset_artifacts/patch-env.sh
@@ -0,0 +1,2 @@
+rr[update_baseline]="init"
+# Processed 2 options
diff --git a/02-prepare_abe/console.log.xz b/02-prepare_abe/console.log.xz
new file mode 100644
index 0000000..7831061
--- /dev/null
+++ b/02-prepare_abe/console.log.xz
Binary files differ
diff --git a/03-build_abe-binutils/console.log.xz b/03-build_abe-binutils/console.log.xz
new file mode 100644
index 0000000..f801424
--- /dev/null
+++ b/03-build_abe-binutils/console.log.xz
Binary files differ
diff --git a/04-build_abe-stage1/console.log.xz b/04-build_abe-stage1/console.log.xz
new file mode 100644
index 0000000..b87a533
--- /dev/null
+++ b/04-build_abe-stage1/console.log.xz
Binary files differ
diff --git a/05-clean_sysroot/console.log.xz b/05-clean_sysroot/console.log.xz
new file mode 100644
index 0000000..f4e1f85
--- /dev/null
+++ b/05-clean_sysroot/console.log.xz
Binary files differ
diff --git a/06-build_abe-newlib/console.log.xz b/06-build_abe-newlib/console.log.xz
new file mode 100644
index 0000000..b1f6418
--- /dev/null
+++ b/06-build_abe-newlib/console.log.xz
Binary files differ
diff --git a/07-build_abe-stage2/console.log.xz b/07-build_abe-stage2/console.log.xz
new file mode 100644
index 0000000..370abbe
--- /dev/null
+++ b/07-build_abe-stage2/console.log.xz
Binary files differ
diff --git a/08-benchmark/benchmark-build.log b/08-benchmark/benchmark-build.log
new file mode 100644
index 0000000..70ec583
--- /dev/null
+++ b/08-benchmark/benchmark-build.log
@@ -0,0 +1,194 @@
+Started TCWG Benchmark #27203
+Started from command line by tcwg-buildslave@linaro.org
+Running as SYSTEM
+[EnvInject] - Loading node environment variables.
+Building remotely on tcwg-bmk-bkp-01 (tcwg-bmk-coordinator tcwg-parallel-jobs tcwg) in workspace /home/tcwg-benchmark/workspace/tcwg-benchmark-tcwg-bmk-bkp-01_5
+[ssh-agent] Looking for ssh-agent implementation...
+[ssh-agent] Exec ssh-agent (binary ssh-agent on a remote machine)
+$ ssh-agent
+SSH_AUTH_SOCK=/tmp/ssh-XXXXXXkp8GmE/agent.31038
+SSH_AGENT_PID=31040
+[ssh-agent] Started.
+Running ssh-add (command line suppressed)
+Identity added: /home/tcwg-benchmark/workspace/tcwg-benchmark-tcwg-bmk-bkp-01_5_tmp/private_key_13433819560354569945.key (/home/tcwg-benchmark/workspace/tcwg-benchmark-tcwg-bmk-bkp-01_5_tmp/private_key_13433819560354569945.key)
+[ssh-agent] Using credentials tcwg-benchmark (benchmark for TCWG machines)
+The recommended git tool is: NONE
+No credentials specified
+ > /usr/bin/git rev-parse --resolve-git-dir /home/tcwg-benchmark/workspace/tcwg-benchmark-tcwg-bmk-bkp-01_5/jenkins-scripts/.git # timeout=10
+Fetching changes from the remote Git repository
+ > /usr/bin/git config remote.origin.url https://git.linaro.org/toolchain/jenkins-scripts.git # timeout=10
+Cleaning workspace
+ > /usr/bin/git rev-parse --verify HEAD # timeout=10
+Resetting working tree
+ > /usr/bin/git reset --hard # timeout=10
+ > /usr/bin/git clean -fdx # timeout=10
+Pruning obsolete local branches
+Fetching upstream changes from https://git.linaro.org/toolchain/jenkins-scripts.git
+ > /usr/bin/git --version # timeout=10
+ > git --version # 'git version 2.34.1'
+ > /usr/bin/git fetch --tags --force --progress --prune -- https://git.linaro.org/toolchain/jenkins-scripts.git +refs/heads/*:refs/remotes/origin/* +refs/changes/*:refs/changes/* # timeout=10
+ > /usr/bin/git rev-parse origin/master^{commit} # timeout=10
+Checking out Revision ea53665f7249e6e0bebdddc04da3cc440ff35fd4 (origin/master)
+ > /usr/bin/git config core.sparsecheckout # timeout=10
+ > /usr/bin/git checkout -f ea53665f7249e6e0bebdddc04da3cc440ff35fd4 # timeout=10
+Commit message: "tcwg_bmk-build.sh: Fix samples-variability generation"
+ > /usr/bin/git rev-list --no-walk ea53665f7249e6e0bebdddc04da3cc440ff35fd4 # timeout=10
+The recommended git tool is: NONE
+No credentials specified
+ > /usr/bin/git rev-parse --resolve-git-dir /home/tcwg-benchmark/workspace/tcwg-benchmark-tcwg-bmk-bkp-01_5/bmk-scripts/.git # timeout=10
+Fetching changes from the remote Git repository
+ > /usr/bin/git config remote.origin.url https://git.linaro.org/toolchain/bmk-scripts.git # timeout=10
+Cleaning workspace
+ > /usr/bin/git rev-parse --verify HEAD # timeout=10
+Resetting working tree
+ > /usr/bin/git reset --hard # timeout=10
+ > /usr/bin/git clean -fdx # timeout=10
+Pruning obsolete local branches
+Fetching upstream changes from https://git.linaro.org/toolchain/bmk-scripts.git
+ > /usr/bin/git --version # timeout=10
+ > git --version # 'git version 2.34.1'
+ > /usr/bin/git fetch --tags --force --progress --prune -- https://git.linaro.org/toolchain/bmk-scripts.git +refs/heads/*:refs/remotes/origin/* +refs/changes/*:refs/changes/* # timeout=10
+ > /usr/bin/git rev-parse origin/master^{commit} # timeout=10
+Checking out Revision 6a4264908385693deeb5d4ae8a8d2e9d1be93a2b (origin/master)
+ > /usr/bin/git config core.sparsecheckout # timeout=10
+ > /usr/bin/git checkout -f 6a4264908385693deeb5d4ae8a8d2e9d1be93a2b # timeout=10
+Commit message: "output-bmk-results.py,samples-variability.py: Generate and use automatic thresholds for exe/functions"
+ > /usr/bin/git rev-list --no-walk 6a4264908385693deeb5d4ae8a8d2e9d1be93a2b # timeout=10
+No emails were triggered.
+New run name is '#27203-tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build (stm32:amd64)'
+[tcwg-benchmark-tcwg-bmk-bkp-01_5] $ /bin/bash /tmp/jenkins4531687500358350781.sh
++ rm -rf artifacts
++ mkdir -p artifacts/jenkins
++ has_perf_logs=yes
++ case "$bmk_hw:$image_arch" in
++ hw_tag=stm32
++ has_perf_logs=no
+++ sed -e s/@build_num@/27203/g -e s/@hw_tag@/stm32/g
+++ echo stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/@build_num@
++ results_id=stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ idle_boards=0
++ total_boards=0
+++ set +f
+++ ls -tr /home/tcwg-benchmark/boards/tcwg-bmk-stm32-01.lock
++ for lock in $(set +f; ls -tr $HOME/boards/tcwg-bmk-$bmk_hw-*.lock)
++ res=0
++ wait 31158
++ flock -en -E 124 9
++ total_boards=1
++ '[' 0 = 124 ']'
++ idle_boards=1
++ n_boards=1
++ '[' 1 -lt 1 ']'
++ count=1
++ read -a bmks
+++ ./bmk-scripts/break-up-bmks.sh --bench_list coremark --bmk_hw stm32 --n_boards 1 --run_profile parallel --board_selection balance
++ cat
++ count=2
++ read -a bmks
++ cat
+Waiting for the completion of TCWG Benchmark_backend
+TCWG Benchmark_backend #111553 started.
+TCWG Benchmark_backend #111553-27203+1-tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build (stm32:amd64) completed. Result was SUCCESS
+[tcwg-benchmark-tcwg-bmk-bkp-01_5] $ /bin/bash /tmp/jenkins14227653356666571158.sh
++ . artifacts/bmk-info
+++ results_id=stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
+++ hw_tag=stm32
+++ has_perf_logs=no
++ results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ csv_results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ rm -rf /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ mkdir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ ./bmk-scripts/gather-metrics.sh --results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203 --csv_results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results --hw_tag stm32 --has_perf_logs no
+++ dirname ./bmk-scripts/gather-metrics.sh
++ bmk_scripts=./bmk-scripts
++ results_dir=undef
++ csv_results_dir=undef
++ hw_tag=undef
++ has_perf_logs=undef
++ metrics=()
++ verbose=false
++ test 8 -gt 0
++ case $1 in
++ results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ shift
++ shift
++ test 6 -gt 0
++ case $1 in
++ csv_results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ shift
++ shift
++ test 4 -gt 0
++ case $1 in
++ hw_tag=stm32
++ shift
++ shift
++ test 2 -gt 0
++ case $1 in
++ has_perf_logs=no
++ shift
++ shift
++ test 0 -gt 0
++ check_option /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203 'results_dir not set.'
++ local var=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ local 'msg=results_dir not set.'
++ '[' x/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203 = xundef ']'
++ check_option /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results 'csv_results_dir not set.'
++ local var=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ local 'msg=csv_results_dir not set.'
++ '[' x/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results = xundef ']'
++ check_option stm32 'hw_tag not set.'
++ local var=stm32
++ local 'msg=hw_tag not set.'
++ '[' xstm32 = xundef ']'
++ check_option no 'has_perf_logs not set.'
++ local var=no
++ local 'msg=has_perf_logs not set.'
++ '[' xno = xundef ']'
++ '[' 0 = 0 ']'
++ metrics=(perf size vect sve md5sum)
++ mkdir -p /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ false
++ num_entries_opt=' '
++ gather_perf_data no stm32 /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203 /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ local has_perf_logs=no
++ local hw_tag=stm32
++ local results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ local csv_results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ '[' xno = xyes ']'
++ mapfile -t this_csv
+++ find /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203 -name results.csv -a '!' -path '/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/*'
++ '[' 1 -eq 1 ']'
++ cat /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/dev-01.tcwglab/results.csv
++ cut -d, -f 1-3
++ '[' xno = xyes ']'
++ bmk_name=coremark
++ metrics=("${metrics[@]:1}")
++ merge_opts=("$csv_results_dir/perf.csv")
++ for metric in "${metrics[@]}"
++ ./bmk-scripts/size-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/size.csv --perf_csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/perf.csv --results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ ./bmk-scripts/vect-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/vect.csv --perf_csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/perf.csv --results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ ./bmk-scripts/sve-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/sve.csv --perf_csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/perf.csv --results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ ./bmk-scripts/md5sum-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/md5sum.csv --perf_csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/perf.csv --results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ ./bmk-scripts/merge-metric-csvs.py /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/perf.csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/size.csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/vect.csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/sve.csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/md5sum.csv
+New run name is '#27203-tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build (stm32:amd64)'
+$ ssh-agent -k
+unset SSH_AUTH_SOCK;
+unset SSH_AGENT_PID;
+echo Agent pid 31040 killed;
+[ssh-agent] Stopped.
+Archiving artifacts
+Email was triggered for: Success
+Sending email for trigger: Success
+#27202-tcwg_bmk-code_vect-spec2k6/gnu-arm-master-O3_LTO-bisect (sq:armhf) is still in progress; ignoring for purposes of comparison
+Failed to create e-mail address for ERROR:,File,'artifacts/jenkins/mail-recipients.txt',does,not,exist
+#27202-tcwg_bmk-code_vect-spec2k6/gnu-arm-master-O3_LTO-bisect (sq:armhf) is still in progress; ignoring for purposes of comparison
+An attempt to send an e-mail to empty list of recipients, ignored.
+Finished: SUCCESS
+Completed TCWG Benchmark #27203-tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build (stm32:amd64) : SUCCESS
diff --git a/08-benchmark/benchmark.log b/08-benchmark/benchmark.log
new file mode 100644
index 0000000..52b5416
--- /dev/null
+++ b/08-benchmark/benchmark.log
@@ -0,0 +1,193 @@
+Started TCWG Benchmark #27203
+Started from command line by tcwg-buildslave@linaro.org
+Running as SYSTEM
+[EnvInject] - Loading node environment variables.
+Building remotely on tcwg-bmk-bkp-01 (tcwg-bmk-coordinator tcwg-parallel-jobs tcwg) in workspace /home/tcwg-benchmark/workspace/tcwg-benchmark-tcwg-bmk-bkp-01_5
+[ssh-agent] Looking for ssh-agent implementation...
+[ssh-agent] Exec ssh-agent (binary ssh-agent on a remote machine)
+$ ssh-agent
+SSH_AUTH_SOCK=/tmp/ssh-XXXXXXkp8GmE/agent.31038
+SSH_AGENT_PID=31040
+[ssh-agent] Started.
+Running ssh-add (command line suppressed)
+Identity added: /home/tcwg-benchmark/workspace/tcwg-benchmark-tcwg-bmk-bkp-01_5_tmp/private_key_13433819560354569945.key (/home/tcwg-benchmark/workspace/tcwg-benchmark-tcwg-bmk-bkp-01_5_tmp/private_key_13433819560354569945.key)
+[ssh-agent] Using credentials tcwg-benchmark (benchmark for TCWG machines)
+The recommended git tool is: NONE
+No credentials specified
+ > /usr/bin/git rev-parse --resolve-git-dir /home/tcwg-benchmark/workspace/tcwg-benchmark-tcwg-bmk-bkp-01_5/jenkins-scripts/.git # timeout=10
+Fetching changes from the remote Git repository
+ > /usr/bin/git config remote.origin.url https://git.linaro.org/toolchain/jenkins-scripts.git # timeout=10
+Cleaning workspace
+ > /usr/bin/git rev-parse --verify HEAD # timeout=10
+Resetting working tree
+ > /usr/bin/git reset --hard # timeout=10
+ > /usr/bin/git clean -fdx # timeout=10
+Pruning obsolete local branches
+Fetching upstream changes from https://git.linaro.org/toolchain/jenkins-scripts.git
+ > /usr/bin/git --version # timeout=10
+ > git --version # 'git version 2.34.1'
+ > /usr/bin/git fetch --tags --force --progress --prune -- https://git.linaro.org/toolchain/jenkins-scripts.git +refs/heads/*:refs/remotes/origin/* +refs/changes/*:refs/changes/* # timeout=10
+ > /usr/bin/git rev-parse origin/master^{commit} # timeout=10
+Checking out Revision ea53665f7249e6e0bebdddc04da3cc440ff35fd4 (origin/master)
+ > /usr/bin/git config core.sparsecheckout # timeout=10
+ > /usr/bin/git checkout -f ea53665f7249e6e0bebdddc04da3cc440ff35fd4 # timeout=10
+Commit message: "tcwg_bmk-build.sh: Fix samples-variability generation"
+ > /usr/bin/git rev-list --no-walk ea53665f7249e6e0bebdddc04da3cc440ff35fd4 # timeout=10
+The recommended git tool is: NONE
+No credentials specified
+ > /usr/bin/git rev-parse --resolve-git-dir /home/tcwg-benchmark/workspace/tcwg-benchmark-tcwg-bmk-bkp-01_5/bmk-scripts/.git # timeout=10
+Fetching changes from the remote Git repository
+ > /usr/bin/git config remote.origin.url https://git.linaro.org/toolchain/bmk-scripts.git # timeout=10
+Cleaning workspace
+ > /usr/bin/git rev-parse --verify HEAD # timeout=10
+Resetting working tree
+ > /usr/bin/git reset --hard # timeout=10
+ > /usr/bin/git clean -fdx # timeout=10
+Pruning obsolete local branches
+Fetching upstream changes from https://git.linaro.org/toolchain/bmk-scripts.git
+ > /usr/bin/git --version # timeout=10
+ > git --version # 'git version 2.34.1'
+ > /usr/bin/git fetch --tags --force --progress --prune -- https://git.linaro.org/toolchain/bmk-scripts.git +refs/heads/*:refs/remotes/origin/* +refs/changes/*:refs/changes/* # timeout=10
+ > /usr/bin/git rev-parse origin/master^{commit} # timeout=10
+Checking out Revision 6a4264908385693deeb5d4ae8a8d2e9d1be93a2b (origin/master)
+ > /usr/bin/git config core.sparsecheckout # timeout=10
+ > /usr/bin/git checkout -f 6a4264908385693deeb5d4ae8a8d2e9d1be93a2b # timeout=10
+Commit message: "output-bmk-results.py,samples-variability.py: Generate and use automatic thresholds for exe/functions"
+ > /usr/bin/git rev-list --no-walk 6a4264908385693deeb5d4ae8a8d2e9d1be93a2b # timeout=10
+No emails were triggered.
+New run name is '#27203-tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build (stm32:amd64)'
+[tcwg-benchmark-tcwg-bmk-bkp-01_5] $ /bin/bash /tmp/jenkins4531687500358350781.sh
++ rm -rf artifacts
++ mkdir -p artifacts/jenkins
++ has_perf_logs=yes
++ case "$bmk_hw:$image_arch" in
++ hw_tag=stm32
++ has_perf_logs=no
+++ sed -e s/@build_num@/27203/g -e s/@hw_tag@/stm32/g
+++ echo stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/@build_num@
++ results_id=stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ idle_boards=0
++ total_boards=0
+++ set +f
+++ ls -tr /home/tcwg-benchmark/boards/tcwg-bmk-stm32-01.lock
++ for lock in $(set +f; ls -tr $HOME/boards/tcwg-bmk-$bmk_hw-*.lock)
++ res=0
++ wait 31158
++ flock -en -E 124 9
++ total_boards=1
++ '[' 0 = 124 ']'
++ idle_boards=1
++ n_boards=1
++ '[' 1 -lt 1 ']'
++ count=1
++ read -a bmks
+++ ./bmk-scripts/break-up-bmks.sh --bench_list coremark --bmk_hw stm32 --n_boards 1 --run_profile parallel --board_selection balance
++ cat
++ count=2
++ read -a bmks
++ cat
+Waiting for the completion of TCWG Benchmark_backend
+TCWG Benchmark_backend #111553 started.
+TCWG Benchmark_backend #111553-27203+1-tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build (stm32:amd64) completed. Result was SUCCESS
+[tcwg-benchmark-tcwg-bmk-bkp-01_5] $ /bin/bash /tmp/jenkins14227653356666571158.sh
++ . artifacts/bmk-info
+++ results_id=stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
+++ hw_tag=stm32
+++ has_perf_logs=no
++ results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ csv_results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ rm -rf /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ mkdir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ ./bmk-scripts/gather-metrics.sh --results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203 --csv_results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results --hw_tag stm32 --has_perf_logs no
+++ dirname ./bmk-scripts/gather-metrics.sh
++ bmk_scripts=./bmk-scripts
++ results_dir=undef
++ csv_results_dir=undef
++ hw_tag=undef
++ has_perf_logs=undef
++ metrics=()
++ verbose=false
++ test 8 -gt 0
++ case $1 in
++ results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ shift
++ shift
++ test 6 -gt 0
++ case $1 in
++ csv_results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ shift
++ shift
++ test 4 -gt 0
++ case $1 in
++ hw_tag=stm32
++ shift
++ shift
++ test 2 -gt 0
++ case $1 in
++ has_perf_logs=no
++ shift
++ shift
++ test 0 -gt 0
++ check_option /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203 'results_dir not set.'
++ local var=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ local 'msg=results_dir not set.'
++ '[' x/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203 = xundef ']'
++ check_option /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results 'csv_results_dir not set.'
++ local var=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ local 'msg=csv_results_dir not set.'
++ '[' x/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results = xundef ']'
++ check_option stm32 'hw_tag not set.'
++ local var=stm32
++ local 'msg=hw_tag not set.'
++ '[' xstm32 = xundef ']'
++ check_option no 'has_perf_logs not set.'
++ local var=no
++ local 'msg=has_perf_logs not set.'
++ '[' xno = xundef ']'
++ '[' 0 = 0 ']'
++ metrics=(perf size vect sve md5sum)
++ mkdir -p /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ false
++ num_entries_opt=' '
++ gather_perf_data no stm32 /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203 /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ local has_perf_logs=no
++ local hw_tag=stm32
++ local results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ local csv_results_dir=/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results
++ '[' xno = xyes ']'
++ mapfile -t this_csv
+++ find /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203 -name results.csv -a '!' -path '/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/*'
++ '[' 1 -eq 1 ']'
++ cat /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/dev-01.tcwglab/results.csv
++ cut -d, -f 1-3
++ '[' xno = xyes ']'
++ bmk_name=coremark
++ metrics=("${metrics[@]:1}")
++ merge_opts=("$csv_results_dir/perf.csv")
++ for metric in "${metrics[@]}"
++ ./bmk-scripts/size-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/size.csv --perf_csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/perf.csv --results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ ./bmk-scripts/vect-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/vect.csv --perf_csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/perf.csv --results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ ./bmk-scripts/sve-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/sve.csv --perf_csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/perf.csv --results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ ./bmk-scripts/md5sum-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/md5sum.csv --perf_csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/perf.csv --results_dir /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ ./bmk-scripts/merge-metric-csvs.py /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/perf.csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/size.csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/vect.csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/sve.csv /home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/csv_results/md5sum.csv
+New run name is '#27203-tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build (stm32:amd64)'
+$ ssh-agent -k
+unset SSH_AUTH_SOCK;
+unset SSH_AGENT_PID;
+echo Agent pid 31040 killed;
+[ssh-agent] Stopped.
+Archiving artifacts
+Email was triggered for: Success
+Sending email for trigger: Success
+#27202-tcwg_bmk-code_vect-spec2k6/gnu-arm-master-O3_LTO-bisect (sq:armhf) is still in progress; ignoring for purposes of comparison
+Failed to create e-mail address for ERROR:,File,'artifacts/jenkins/mail-recipients.txt',does,not,exist
+#27202-tcwg_bmk-code_vect-spec2k6/gnu-arm-master-O3_LTO-bisect (sq:armhf) is still in progress; ignoring for purposes of comparison
+An attempt to send an e-mail to empty list of recipients, ignored.
+Finished: SUCCESS
diff --git a/08-benchmark/console.log.xz b/08-benchmark/console.log.xz
new file mode 100644
index 0000000..9f3e5f1
--- /dev/null
+++ b/08-benchmark/console.log.xz
Binary files differ
diff --git a/09-check_regression/compare-results-vs-first-internal.csv b/09-check_regression/compare-results-vs-first-internal.csv
new file mode 100644
index 0000000..ee62e96
--- /dev/null
+++ b/09-check_regression/compare-results-vs-first-internal.csv
@@ -0,0 +1,7 @@
+benchmark,symbol,rel_sample,rel_size,rel_num_vect_loops,rel_num_sve_loops,rel_symbol_md5sum,sample_x,sample_y,size_x,size_y,num_vect_loops_x,num_vect_loops_y,num_sve_loops_x,num_sve_loops_y,symbol_md5sum_x,symbol_md5sum_y
+Mean,mean,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-successful-tests,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-all-tests,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-successful-tests,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-all-tests,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+coremark,coremark,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
diff --git a/09-check_regression/compare-results-vs-prev-internal.csv b/09-check_regression/compare-results-vs-prev-internal.csv
new file mode 100644
index 0000000..ee62e96
--- /dev/null
+++ b/09-check_regression/compare-results-vs-prev-internal.csv
@@ -0,0 +1,7 @@
+benchmark,symbol,rel_sample,rel_size,rel_num_vect_loops,rel_num_sve_loops,rel_symbol_md5sum,sample_x,sample_y,size_x,size_y,num_vect_loops_x,num_vect_loops_y,num_sve_loops_x,num_sve_loops_y,symbol_md5sum_x,symbol_md5sum_y
+Mean,mean,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-successful-tests,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-all-tests,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-successful-tests,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-all-tests,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+coremark,coremark,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
diff --git a/09-check_regression/console.log.xz b/09-check_regression/console.log.xz
new file mode 100644
index 0000000..355850d
--- /dev/null
+++ b/09-check_regression/console.log.xz
Binary files differ
diff --git a/09-check_regression/csv-results-vs-first-0/md5sum.csv b/09-check_regression/csv-results-vs-first-0/md5sum.csv
new file mode 100644
index 0000000..820a9e5
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-0/md5sum.csv
@@ -0,0 +1 @@
+benchmark,symbol,symbol_md5sum
diff --git a/09-check_regression/csv-results-vs-first-0/perf.csv b/09-check_regression/csv-results-vs-first-0/perf.csv
new file mode 100644
index 0000000..a9e9a14
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-0/perf.csv
@@ -0,0 +1,2 @@
+benchmark,symbol,sample
+coremark,coremark,14899
diff --git a/09-check_regression/csv-results-vs-first-0/results.csv b/09-check_regression/csv-results-vs-first-0/results.csv
new file mode 100644
index 0000000..9b7c658
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-0/results.csv
@@ -0,0 +1,347 @@
+benchmark,symbol,sample,size,num_vect_loops,num_sve_loops,symbol_md5sum
+Coremark,coremark.exe,-1,66884,-1,-1,-1
+Coremark,[.] abort,-1,14,-1,-1,-1
+Coremark,[.] ADC1_2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] ADC3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __adddf3,-1,630,-1,-1,-1
+Coremark,[.] __aeabi_cdcmpeq,-1,16,-1,-1,-1
+Coremark,[.] __aeabi_cdcmple,-1,16,-1,-1,-1
+Coremark,[.] __aeabi_cdrcmple,-1,32,-1,-1,-1
+Coremark,[.] __aeabi_d2iz,-1,78,-1,-1,-1
+Coremark,[.] __aeabi_d2uiz,-1,64,-1,-1,-1
+Coremark,[.] __aeabi_dadd,-1,630,-1,-1,-1
+Coremark,[.] __aeabi_dcmpeq,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpge,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpgt,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmple,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmplt,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpun,-1,44,-1,-1,-1
+Coremark,[.] __aeabi_ddiv,-1,464,-1,-1,-1
+Coremark,[.] __aeabi_dmul,-1,596,-1,-1,-1
+Coremark,[.] __aeabi_dsub,-1,634,-1,-1,-1
+Coremark,[.] __aeabi_f2d,-1,66,-1,-1,-1
+Coremark,[.] __aeabi_i2d,-1,34,-1,-1,-1
+Coremark,[.] __aeabi_l2d,-1,90,-1,-1,-1
+Coremark,[.] __aeabi_ui2d,-1,30,-1,-1,-1
+Coremark,[.] __aeabi_ul2d,-1,106,-1,-1,-1
+Coremark,[.] __any_on,-1,92,-1,-1,-1
+Coremark,[.] __ascii_mbtowc,-1,42,-1,-1,-1
+Coremark,[.] __ascii_wctomb,-1,26,-1,-1,-1
+Coremark,[.] __assert,-1,10,-1,-1,-1
+Coremark,[.] __assert_func,-1,64,-1,-1,-1
+Coremark,[.] atexit,-1,12,-1,-1,-1
+Coremark,[.] __b2d,-1,188,-1,-1,-1
+Coremark,[.] _Balloc,-1,76,-1,-1,-1
+Coremark,[.] _Bfree,-1,18,-1,-1,-1
+Coremark,[.] BusFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] calc_func,-1,4088,-1,-1,-1
+Coremark,[.] __call_exitprocs,-1,176,-1,-1,-1
+Coremark,[.] _calloc_r,-1,108,-1,-1,-1
+Coremark,[.] CAN1_RX0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_RX1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_SCE_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_TX_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] cleanup_stdio,-1,64,-1,-1,-1
+Coremark,[.] _close,-1,40,-1,-1,-1
+Coremark,[.] _close_r,-1,36,-1,-1,-1
+Coremark,[.] __cmpdf2,-1,122,-1,-1,-1
+Coremark,[.] COMP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __copybits,-1,90,-1,-1,-1
+Coremark,[.] core_bench_list,-1,1504,-1,-1,-1
+Coremark,[.] core_state_transition,-1,436,-1,-1,-1
+Coremark,[.] crcu16,-1,280,-1,-1,-1
+Coremark,[.] currentlocale,-1,92,-1,-1,-1
+Coremark,[.] __d2b,-1,192,-1,-1,-1
+Coremark,[.] DebugMon_Handler,-1,2,-1,-1,-1
+Coremark,[.] Default_Handler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __divdf3,-1,464,-1,-1,-1
+Coremark,[.] DMA1_Channel1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel6_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel6_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _dtoa_r,-1,3716,-1,-1,-1
+Coremark,[.] __env_lock,-1,2,-1,-1,-1
+Coremark,[.] __env_unlock,-1,2,-1,-1,-1
+Coremark,[.] __eqdf2,-1,122,-1,-1,-1
+Coremark,[.] __errno,-1,12,-1,-1,-1
+Coremark,[.] __eucjp_mbtowc,-1,190,-1,-1,-1
+Coremark,[.] __eucjp_wctomb,-1,124,-1,-1,-1
+Coremark,[.] _exit,-1,20,-1,-1,-1
+Coremark,[.] exit,-1,28,-1,-1,-1
+Coremark,[.] __extendsfdf2,-1,66,-1,-1,-1
+Coremark,[.] EXTI0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI15_10_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI9_5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] fclose,-1,16,-1,-1,-1
+Coremark,[.] _fclose_r,-1,128,-1,-1,-1
+Coremark,[.] fflush,-1,72,-1,-1,-1
+Coremark,[.] _fflush_r,-1,44,-1,-1,-1
+Coremark,[.] _findenv_r,-1,128,-1,-1,-1
+Coremark,[.] fiprintf,-1,40,-1,-1,-1
+Coremark,[.] _fiprintf_r,-1,28,-1,-1,-1
+Coremark,[.] __fixdfsi,-1,78,-1,-1,-1
+Coremark,[.] __fixunsdfsi,-1,64,-1,-1,-1
+Coremark,[.] FLASH_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __floatdidf,-1,90,-1,-1,-1
+Coremark,[.] __floatsidf,-1,34,-1,-1,-1
+Coremark,[.] __floatundidf,-1,106,-1,-1,-1
+Coremark,[.] __floatunsidf,-1,30,-1,-1,-1
+Coremark,[.] FMC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __fp_lock,-1,4,-1,-1,-1
+Coremark,[.] __fp_lock_all,-1,20,-1,-1,-1
+Coremark,[.] FPU_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __fp_unlock,-1,4,-1,-1,-1
+Coremark,[.] __fp_unlock_all,-1,20,-1,-1,-1
+Coremark,[.] __fputwc,-1,152,-1,-1,-1
+Coremark,[.] fputwc,-1,72,-1,-1,-1
+Coremark,[.] _fputwc_r,-1,38,-1,-1,-1
+Coremark,[.] _free_r,-1,504,-1,-1,-1
+Coremark,[.] frexp,-1,100,-1,-1,-1
+Coremark,[.] _fstat,-1,30,-1,-1,-1
+Coremark,[.] _fstat_r,-1,44,-1,-1,-1
+Coremark,[.] _fwalk_sglue,-1,68,-1,-1,-1
+Coremark,[.] __gedf2,-1,138,-1,-1,-1
+Coremark,[.] get_arg,-1,2976,-1,-1,-1
+Coremark,[.] _getenv_r,-1,16,-1,-1,-1
+Coremark,[.] __get_locale_env,-1,80,-1,-1,-1
+Coremark,[.] _getpid,-1,4,-1,-1,-1
+Coremark,[.] _getpid_r,-1,4,-1,-1,-1
+Coremark,[.] get_seed_args,-1,152,-1,-1,-1
+Coremark,[.] _gettimeofday,-1,40,-1,-1,-1
+Coremark,[.] global_stdio_init.part.0,-1,208,-1,-1,-1
+Coremark,[.] __gtdf2,-1,138,-1,-1,-1
+Coremark,[.] HAL_GetTick,-1,12,-1,-1,-1
+Coremark,[.] HAL_IncTick,-1,24,-1,-1,-1
+Coremark,[.] hal_init,-1,14,-1,-1,-1
+Coremark,[.] HAL_Init,-1,48,-1,-1,-1
+Coremark,[.] HAL_InitTick,-1,76,-1,-1,-1
+Coremark,[.] HAL_MspInit,-1,2,-1,-1,-1
+Coremark,[.] HAL_NVIC_SetPriority,-1,120,-1,-1,-1
+Coremark,[.] HAL_NVIC_SetPriorityGrouping,-1,36,-1,-1,-1
+Coremark,[.] HAL_PWREx_ControlVoltageScaling,-1,128,-1,-1,-1
+Coremark,[.] HAL_PWREx_GetVoltageRange,-1,16,-1,-1,-1
+Coremark,[.] HAL_RCC_ClockConfig,-1,304,-1,-1,-1
+Coremark,[.] HAL_RCCEx_PeriphCLKConfig,-1,1072,-1,-1,-1
+Coremark,[.] HAL_RCC_GetSysClockFreq,-1,172,-1,-1,-1
+Coremark,[.] HAL_RCC_OscConfig,-1,1520,-1,-1,-1
+Coremark,[.] HAL_SYSTICK_Config,-1,52,-1,-1,-1
+Coremark,[.] HardFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] __hi0bits,-1,68,-1,-1,-1
+Coremark,[.] __i2b,-1,92,-1,-1,-1
+Coremark,[.] I2C1_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C1_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C2_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C2_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C3_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C3_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] initialise_monitor_handles,-1,108,-1,-1,-1
+Coremark,[.] _init_signal,-1,56,-1,-1,-1
+Coremark,[.] _init_signal_r,-1,48,-1,-1,-1
+Coremark,[.] _isatty,-1,112,-1,-1,-1
+Coremark,[.] _isatty_r,-1,36,-1,-1,-1
+Coremark,[.] __jis_mbtowc,-1,300,-1,-1,-1
+Coremark,[.] __jis_wctomb,-1,124,-1,-1,-1
+Coremark,[.] _kill,-1,40,-1,-1,-1
+Coremark,[.] _kill_r,-1,44,-1,-1,-1
+Coremark,[.] LCD_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __ledf2,-1,130,-1,-1,-1
+Coremark,[.] __libc_fini_array,-1,40,-1,-1,-1
+Coremark,[.] __libc_init_array,-1,80,-1,-1,-1
+Coremark,[.] _link,-1,16,-1,-1,-1
+Coremark,[.] __lo0bits,-1,94,-1,-1,-1
+Coremark,[.] __loadlocale,-1,1728,-1,-1,-1
+Coremark,[.] localeconv,-1,8,-1,-1,-1
+Coremark,[.] __localeconv_l,-1,4,-1,-1,-1
+Coremark,[.] _localeconv_r,-1,8,-1,-1,-1
+Coremark,[.] __locale_mb_cur_max,-1,12,-1,-1,-1
+Coremark,[.] LPTIM1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] LPTIM2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] LPUART1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _lseek,-1,40,-1,-1,-1
+Coremark,[.] _lseek_r,-1,44,-1,-1,-1
+Coremark,[.] __lshift,-1,240,-1,-1,-1
+Coremark,[.] __ltdf2,-1,130,-1,-1,-1
+Coremark,[.] main,-1,3652,-1,-1,-1
+Coremark,[.] __malloc_lock,-1,2,-1,-1,-1
+Coremark,[.] _malloc_r,-1,1364,-1,-1,-1
+Coremark,[.] _malloc_trim_r,-1,184,-1,-1,-1
+Coremark,[.] __malloc_unlock,-1,2,-1,-1,-1
+Coremark,[.] _mbtowc_r,-1,20,-1,-1,-1
+Coremark,[.] __mcmp,-1,66,-1,-1,-1
+Coremark,[.] __mdiff,-1,376,-1,-1,-1
+Coremark,[.] memcpy,-1,308,-1,-1,-1
+Coremark,[.] MemManage_Handler,-1,2,-1,-1,-1
+Coremark,[.] memmove,-1,254,-1,-1,-1
+Coremark,[.] memset,-1,162,-1,-1,-1
+Coremark,[.] _mprec_log10,-1,64,-1,-1,-1
+Coremark,[.] __muldf3,-1,596,-1,-1,-1
+Coremark,[.] __multadd,-1,160,-1,-1,-1
+Coremark,[.] __multiply,-1,364,-1,-1,-1
+Coremark,[.] __nedf2,-1,122,-1,-1,-1
+Coremark,[.] NMI_Handler,-1,2,-1,-1,-1
+Coremark,[.] _open,-1,56,-1,-1,-1
+Coremark,[.] OTG_FS_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] PendSV_Handler,-1,2,-1,-1,-1
+Coremark,[.] __pow5mult,-1,180,-1,-1,-1
+Coremark,[.] printf,-1,40,-1,-1,-1
+Coremark,[.] _printf_r,-1,30,-1,-1,-1
+Coremark,[.] putc,-1,88,-1,-1,-1
+Coremark,[.] putchar,-1,16,-1,-1,-1
+Coremark,[.] _putchar_r,-1,6,-1,-1,-1
+Coremark,[.] _putc_r,-1,76,-1,-1,-1
+Coremark,[.] puts,-1,16,-1,-1,-1
+Coremark,[.] _puts_r,-1,104,-1,-1,-1
+Coremark,[.] PVD_PVM_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] QUADSPI_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] quorem,-1,300,-1,-1,-1
+Coremark,[.] _raise,-1,2,-1,-1,-1
+Coremark,[.] raise,-1,88,-1,-1,-1
+Coremark,[.] _raise_r,-1,82,-1,-1,-1
+Coremark,[.] __ratio,-1,96,-1,-1,-1
+Coremark,[.] RCCEx_PLLSAI1_Config,-1,284,-1,-1,-1
+Coremark,[.] RCCEx_PLLSAI2_Config,-1,256,-1,-1,-1
+Coremark,[.] RCC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RCC_SetFlashLatencyFromMSIRange,-1,140,-1,-1,-1
+Coremark,[.] _read,-1,128,-1,-1,-1
+Coremark,[.] _read_r,-1,44,-1,-1,-1
+Coremark,[.] _realloc_r,-1,836,-1,-1,-1
+Coremark,[.] __register_exitproc,-1,100,-1,-1,-1
+Coremark,[.] remap_handle,-1,64,-1,-1,-1
+Coremark,[.] _rename,-1,82,-1,-1,-1
+Coremark,[.] Reset_Handler,-1,54,-1,-1,-1
+Coremark,[.] RNG_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RTC_Alarm_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RTC_WKUP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __s2b,-1,180,-1,-1,-1
+Coremark,[.] SAI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SAI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __sbprintf,-1,212,-1,-1,-1
+Coremark,[.] _sbrk,-1,80,-1,-1,-1
+Coremark,[.] _sbrk_r,-1,36,-1,-1,-1
+Coremark,[.] __sclose,-1,8,-1,-1,-1
+Coremark,[.] SDMMC1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __seofread,-1,4,-1,-1,-1
+Coremark,[.] __set_ctype,-1,12,-1,-1,-1
+Coremark,[.] setlocale,-1,24,-1,-1,-1
+Coremark,[.] _setlocale_r,-1,552,-1,-1,-1
+Coremark,[.] __sflush_r,-1,312,-1,-1,-1
+Coremark,[.] __sfp,-1,164,-1,-1,-1
+Coremark,[.] __sfp_lock_acquire,-1,2,-1,-1,-1
+Coremark,[.] __sfp_lock_release,-1,2,-1,-1,-1
+Coremark,[.] __sfvwrite_r,-1,768,-1,-1,-1
+Coremark,[.] signal,-1,80,-1,-1,-1
+Coremark,[.] _signal_r,-1,78,-1,-1,-1
+Coremark,[.] __sigtramp,-1,104,-1,-1,-1
+Coremark,[.] __sigtramp_r,-1,100,-1,-1,-1
+Coremark,[.] __sinit,-1,32,-1,-1,-1
+Coremark,[.] __sjis_mbtowc,-1,142,-1,-1,-1
+Coremark,[.] __sjis_wctomb,-1,86,-1,-1,-1
+Coremark,[.] __smakebuf_r,-1,220,-1,-1,-1
+Coremark,[.] SPI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SPI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SPI3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __sprint_r,-1,22,-1,-1,-1
+Coremark,[.] __sprint_r.part.0,-1,120,-1,-1,-1
+Coremark,[.] __sread,-1,34,-1,-1,-1
+Coremark,[.] __sseek,-1,34,-1,-1,-1
+Coremark,[.] _stat,-1,78,-1,-1,-1
+Coremark,[.] stdio_exit_handler,-1,24,-1,-1,-1
+Coremark,[.] strcasecmp,-1,76,-1,-1,-1
+Coremark,[.] strcat,-1,62,-1,-1,-1
+Coremark,[.] strchr,-1,206,-1,-1,-1
+Coremark,[.] strcmp,-1,734,-1,-1,-1
+Coremark,[.] strcpy,-1,186,-1,-1,-1
+Coremark,[.] strlcpy,-1,76,-1,-1,-1
+Coremark,[.] strlen,-1,216,-1,-1,-1
+Coremark,[.] strncasecmp,-1,84,-1,-1,-1
+Coremark,[.] strncmp,-1,138,-1,-1,-1
+Coremark,[.] strncpy,-1,104,-1,-1,-1
+Coremark,[.] strtol,-1,24,-1,-1,-1
+Coremark,[.] strtol_l,-1,24,-1,-1,-1
+Coremark,[.] _strtol_l.isra.0,-1,312,-1,-1,-1
+Coremark,[.] _strtol_r,-1,2,-1,-1,-1
+Coremark,[.] __subdf3,-1,634,-1,-1,-1
+Coremark,[.] SVC_Handler,-1,2,-1,-1,-1
+Coremark,[.] __swbuf,-1,24,-1,-1,-1
+Coremark,[.] __swbuf_r,-1,172,-1,-1,-1
+Coremark,[.] __swhatbuf_r,-1,96,-1,-1,-1
+Coremark,[.] _swiclose,-1,68,-1,-1,-1
+Coremark,[.] _swilseek,-1,144,-1,-1,-1
+Coremark,[.] _swiopen,-1,156,-1,-1,-1
+Coremark,[.] _swiread,-1,88,-1,-1,-1
+Coremark,[.] _swiwrite,-1,88,-1,-1,-1
+Coremark,[.] SWPMI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __swrite,-1,62,-1,-1,-1
+Coremark,[.] __swsetup_r,-1,196,-1,-1,-1
+Coremark,[.] sysconf,-1,26,-1,-1,-1
+Coremark,[.] _system,-1,86,-1,-1,-1
+Coremark,[.] SystemClock_Config,-1,144,-1,-1,-1
+Coremark,[.] SystemInit,-1,80,-1,-1,-1
+Coremark,[.] SysTick_Handler,-1,4,-1,-1,-1
+Coremark,[.] TAMP_STAMP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_BRK_TIM15_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_CC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_TRG_COM_TIM17_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_UP_TIM16_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM6_DAC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_BRK_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_CC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_TRG_COM_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_UP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _times,-1,30,-1,-1,-1
+Coremark,[.] TSC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] UART4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] UART5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __ulp,-1,100,-1,-1,-1
+Coremark,[.] _unlink,-1,68,-1,-1,-1
+Coremark,[.] __unorddf2,-1,44,-1,-1,-1
+Coremark,[.] UsageFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] USART1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] USART2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] USART3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __utf8_mbtowc,-1,466,-1,-1,-1
+Coremark,[.] __utf8_wctomb,-1,156,-1,-1,-1
+Coremark,[.] vfiprintf,-1,28,-1,-1,-1
+Coremark,[.] _vfiprintf_r,-1,6498,-1,-1,-1
+Coremark,[.] vfprintf,-1,28,-1,-1,-1
+Coremark,[.] _vfprintf_r,-1,10296,-1,-1,-1
+Coremark,[.] wcrtomb,-1,88,-1,-1,-1
+Coremark,[.] _wcrtomb_r,-1,76,-1,-1,-1
+Coremark,[.] wcsnrtombs,-1,44,-1,-1,-1
+Coremark,[.] _wcsnrtombs_l,-1,250,-1,-1,-1
+Coremark,[.] _wcsnrtombs_r,-1,36,-1,-1,-1
+Coremark,[.] wcsrtombs,-1,36,-1,-1,-1
+Coremark,[.] _wcsrtombs_r,-1,22,-1,-1,-1
+Coremark,[.] _wctomb_r,-1,20,-1,-1,-1
+Coremark,[.] _write,-1,132,-1,-1,-1
+Coremark,[.] _write_r,-1,44,-1,-1,-1
+Coremark,[.] WWDG_IRQHandler,-1,2,-1,-1,-1
+Mean,mean,14899,-1,-1,-1,-1
+Mean,geomean-successful-tests,14899,-1,-1,-1,-1
+Mean,geomean-all-tests,14899,-1,-1,-1,-1
+Mean,average-successful-tests,14899,-1,-1,-1,-1
+Mean,average-all-tests,14899,-1,-1,-1,-1
+coremark,coremark,14899,-1,-1,-1,-1
diff --git a/09-check_regression/csv-results-vs-first-0/size.csv b/09-check_regression/csv-results-vs-first-0/size.csv
new file mode 100644
index 0000000..a57f24a
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-0/size.csv
@@ -0,0 +1,341 @@
+benchmark,symbol,size
+Coremark,coremark.exe,66884
+Coremark,[.] abort,14
+Coremark,[.] ADC1_2_IRQHandler,2
+Coremark,[.] ADC3_IRQHandler,2
+Coremark,[.] __adddf3,630
+Coremark,[.] __aeabi_cdcmpeq,16
+Coremark,[.] __aeabi_cdcmple,16
+Coremark,[.] __aeabi_cdrcmple,32
+Coremark,[.] __aeabi_d2iz,78
+Coremark,[.] __aeabi_d2uiz,64
+Coremark,[.] __aeabi_dadd,630
+Coremark,[.] __aeabi_dcmpeq,18
+Coremark,[.] __aeabi_dcmpge,18
+Coremark,[.] __aeabi_dcmpgt,18
+Coremark,[.] __aeabi_dcmple,18
+Coremark,[.] __aeabi_dcmplt,18
+Coremark,[.] __aeabi_dcmpun,44
+Coremark,[.] __aeabi_ddiv,464
+Coremark,[.] __aeabi_dmul,596
+Coremark,[.] __aeabi_dsub,634
+Coremark,[.] __aeabi_f2d,66
+Coremark,[.] __aeabi_i2d,34
+Coremark,[.] __aeabi_l2d,90
+Coremark,[.] __aeabi_ui2d,30
+Coremark,[.] __aeabi_ul2d,106
+Coremark,[.] __any_on,92
+Coremark,[.] __ascii_mbtowc,42
+Coremark,[.] __ascii_wctomb,26
+Coremark,[.] __assert,10
+Coremark,[.] __assert_func,64
+Coremark,[.] atexit,12
+Coremark,[.] __b2d,188
+Coremark,[.] _Balloc,76
+Coremark,[.] _Bfree,18
+Coremark,[.] BusFault_Handler,2
+Coremark,[.] calc_func,4088
+Coremark,[.] __call_exitprocs,176
+Coremark,[.] _calloc_r,108
+Coremark,[.] CAN1_RX0_IRQHandler,2
+Coremark,[.] CAN1_RX1_IRQHandler,2
+Coremark,[.] CAN1_SCE_IRQHandler,2
+Coremark,[.] CAN1_TX_IRQHandler,2
+Coremark,[.] cleanup_stdio,64
+Coremark,[.] _close,40
+Coremark,[.] _close_r,36
+Coremark,[.] __cmpdf2,122
+Coremark,[.] COMP_IRQHandler,2
+Coremark,[.] __copybits,90
+Coremark,[.] core_bench_list,1504
+Coremark,[.] core_state_transition,436
+Coremark,[.] crcu16,280
+Coremark,[.] currentlocale,92
+Coremark,[.] __d2b,192
+Coremark,[.] DebugMon_Handler,2
+Coremark,[.] Default_Handler,2
+Coremark,[.] DFSDM1_FLT0_IRQHandler,2
+Coremark,[.] DFSDM1_FLT1_IRQHandler,2
+Coremark,[.] DFSDM1_FLT2_IRQHandler,2
+Coremark,[.] DFSDM1_FLT3_IRQHandler,2
+Coremark,[.] __divdf3,464
+Coremark,[.] DMA1_Channel1_IRQHandler,2
+Coremark,[.] DMA1_Channel2_IRQHandler,2
+Coremark,[.] DMA1_Channel3_IRQHandler,2
+Coremark,[.] DMA1_Channel4_IRQHandler,2
+Coremark,[.] DMA1_Channel5_IRQHandler,2
+Coremark,[.] DMA1_Channel6_IRQHandler,2
+Coremark,[.] DMA1_Channel7_IRQHandler,2
+Coremark,[.] DMA2_Channel1_IRQHandler,2
+Coremark,[.] DMA2_Channel2_IRQHandler,2
+Coremark,[.] DMA2_Channel3_IRQHandler,2
+Coremark,[.] DMA2_Channel4_IRQHandler,2
+Coremark,[.] DMA2_Channel5_IRQHandler,2
+Coremark,[.] DMA2_Channel6_IRQHandler,2
+Coremark,[.] DMA2_Channel7_IRQHandler,2
+Coremark,[.] _dtoa_r,3716
+Coremark,[.] __env_lock,2
+Coremark,[.] __env_unlock,2
+Coremark,[.] __eqdf2,122
+Coremark,[.] __errno,12
+Coremark,[.] __eucjp_mbtowc,190
+Coremark,[.] __eucjp_wctomb,124
+Coremark,[.] _exit,20
+Coremark,[.] exit,28
+Coremark,[.] __extendsfdf2,66
+Coremark,[.] EXTI0_IRQHandler,2
+Coremark,[.] EXTI15_10_IRQHandler,2
+Coremark,[.] EXTI1_IRQHandler,2
+Coremark,[.] EXTI2_IRQHandler,2
+Coremark,[.] EXTI3_IRQHandler,2
+Coremark,[.] EXTI4_IRQHandler,2
+Coremark,[.] EXTI9_5_IRQHandler,2
+Coremark,[.] fclose,16
+Coremark,[.] _fclose_r,128
+Coremark,[.] fflush,72
+Coremark,[.] _fflush_r,44
+Coremark,[.] _findenv_r,128
+Coremark,[.] fiprintf,40
+Coremark,[.] _fiprintf_r,28
+Coremark,[.] __fixdfsi,78
+Coremark,[.] __fixunsdfsi,64
+Coremark,[.] FLASH_IRQHandler,2
+Coremark,[.] __floatdidf,90
+Coremark,[.] __floatsidf,34
+Coremark,[.] __floatundidf,106
+Coremark,[.] __floatunsidf,30
+Coremark,[.] FMC_IRQHandler,2
+Coremark,[.] __fp_lock,4
+Coremark,[.] __fp_lock_all,20
+Coremark,[.] FPU_IRQHandler,2
+Coremark,[.] __fp_unlock,4
+Coremark,[.] __fp_unlock_all,20
+Coremark,[.] __fputwc,152
+Coremark,[.] fputwc,72
+Coremark,[.] _fputwc_r,38
+Coremark,[.] _free_r,504
+Coremark,[.] frexp,100
+Coremark,[.] _fstat,30
+Coremark,[.] _fstat_r,44
+Coremark,[.] _fwalk_sglue,68
+Coremark,[.] __gedf2,138
+Coremark,[.] get_arg,2976
+Coremark,[.] _getenv_r,16
+Coremark,[.] __get_locale_env,80
+Coremark,[.] _getpid,4
+Coremark,[.] _getpid_r,4
+Coremark,[.] get_seed_args,152
+Coremark,[.] _gettimeofday,40
+Coremark,[.] global_stdio_init.part.0,208
+Coremark,[.] __gtdf2,138
+Coremark,[.] HAL_GetTick,12
+Coremark,[.] HAL_IncTick,24
+Coremark,[.] hal_init,14
+Coremark,[.] HAL_Init,48
+Coremark,[.] HAL_InitTick,76
+Coremark,[.] HAL_MspInit,2
+Coremark,[.] HAL_NVIC_SetPriority,120
+Coremark,[.] HAL_NVIC_SetPriorityGrouping,36
+Coremark,[.] HAL_PWREx_ControlVoltageScaling,128
+Coremark,[.] HAL_PWREx_GetVoltageRange,16
+Coremark,[.] HAL_RCC_ClockConfig,304
+Coremark,[.] HAL_RCCEx_PeriphCLKConfig,1072
+Coremark,[.] HAL_RCC_GetSysClockFreq,172
+Coremark,[.] HAL_RCC_OscConfig,1520
+Coremark,[.] HAL_SYSTICK_Config,52
+Coremark,[.] HardFault_Handler,2
+Coremark,[.] __hi0bits,68
+Coremark,[.] __i2b,92
+Coremark,[.] I2C1_ER_IRQHandler,2
+Coremark,[.] I2C1_EV_IRQHandler,2
+Coremark,[.] I2C2_ER_IRQHandler,2
+Coremark,[.] I2C2_EV_IRQHandler,2
+Coremark,[.] I2C3_ER_IRQHandler,2
+Coremark,[.] I2C3_EV_IRQHandler,2
+Coremark,[.] initialise_monitor_handles,108
+Coremark,[.] _init_signal,56
+Coremark,[.] _init_signal_r,48
+Coremark,[.] _isatty,112
+Coremark,[.] _isatty_r,36
+Coremark,[.] __jis_mbtowc,300
+Coremark,[.] __jis_wctomb,124
+Coremark,[.] _kill,40
+Coremark,[.] _kill_r,44
+Coremark,[.] LCD_IRQHandler,2
+Coremark,[.] __ledf2,130
+Coremark,[.] __libc_fini_array,40
+Coremark,[.] __libc_init_array,80
+Coremark,[.] _link,16
+Coremark,[.] __lo0bits,94
+Coremark,[.] __loadlocale,1728
+Coremark,[.] localeconv,8
+Coremark,[.] __localeconv_l,4
+Coremark,[.] _localeconv_r,8
+Coremark,[.] __locale_mb_cur_max,12
+Coremark,[.] LPTIM1_IRQHandler,2
+Coremark,[.] LPTIM2_IRQHandler,2
+Coremark,[.] LPUART1_IRQHandler,2
+Coremark,[.] _lseek,40
+Coremark,[.] _lseek_r,44
+Coremark,[.] __lshift,240
+Coremark,[.] __ltdf2,130
+Coremark,[.] main,3652
+Coremark,[.] __malloc_lock,2
+Coremark,[.] _malloc_r,1364
+Coremark,[.] _malloc_trim_r,184
+Coremark,[.] __malloc_unlock,2
+Coremark,[.] _mbtowc_r,20
+Coremark,[.] __mcmp,66
+Coremark,[.] __mdiff,376
+Coremark,[.] memcpy,308
+Coremark,[.] MemManage_Handler,2
+Coremark,[.] memmove,254
+Coremark,[.] memset,162
+Coremark,[.] _mprec_log10,64
+Coremark,[.] __muldf3,596
+Coremark,[.] __multadd,160
+Coremark,[.] __multiply,364
+Coremark,[.] __nedf2,122
+Coremark,[.] NMI_Handler,2
+Coremark,[.] _open,56
+Coremark,[.] OTG_FS_IRQHandler,2
+Coremark,[.] PendSV_Handler,2
+Coremark,[.] __pow5mult,180
+Coremark,[.] printf,40
+Coremark,[.] _printf_r,30
+Coremark,[.] putc,88
+Coremark,[.] putchar,16
+Coremark,[.] _putchar_r,6
+Coremark,[.] _putc_r,76
+Coremark,[.] puts,16
+Coremark,[.] _puts_r,104
+Coremark,[.] PVD_PVM_IRQHandler,2
+Coremark,[.] QUADSPI_IRQHandler,2
+Coremark,[.] quorem,300
+Coremark,[.] _raise,2
+Coremark,[.] raise,88
+Coremark,[.] _raise_r,82
+Coremark,[.] __ratio,96
+Coremark,[.] RCCEx_PLLSAI1_Config,284
+Coremark,[.] RCCEx_PLLSAI2_Config,256
+Coremark,[.] RCC_IRQHandler,2
+Coremark,[.] RCC_SetFlashLatencyFromMSIRange,140
+Coremark,[.] _read,128
+Coremark,[.] _read_r,44
+Coremark,[.] _realloc_r,836
+Coremark,[.] __register_exitproc,100
+Coremark,[.] remap_handle,64
+Coremark,[.] _rename,82
+Coremark,[.] Reset_Handler,54
+Coremark,[.] RNG_IRQHandler,2
+Coremark,[.] RTC_Alarm_IRQHandler,2
+Coremark,[.] RTC_WKUP_IRQHandler,2
+Coremark,[.] __s2b,180
+Coremark,[.] SAI1_IRQHandler,2
+Coremark,[.] SAI2_IRQHandler,2
+Coremark,[.] __sbprintf,212
+Coremark,[.] _sbrk,80
+Coremark,[.] _sbrk_r,36
+Coremark,[.] __sclose,8
+Coremark,[.] SDMMC1_IRQHandler,2
+Coremark,[.] __seofread,4
+Coremark,[.] __set_ctype,12
+Coremark,[.] setlocale,24
+Coremark,[.] _setlocale_r,552
+Coremark,[.] __sflush_r,312
+Coremark,[.] __sfp,164
+Coremark,[.] __sfp_lock_acquire,2
+Coremark,[.] __sfp_lock_release,2
+Coremark,[.] __sfvwrite_r,768
+Coremark,[.] signal,80
+Coremark,[.] _signal_r,78
+Coremark,[.] __sigtramp,104
+Coremark,[.] __sigtramp_r,100
+Coremark,[.] __sinit,32
+Coremark,[.] __sjis_mbtowc,142
+Coremark,[.] __sjis_wctomb,86
+Coremark,[.] __smakebuf_r,220
+Coremark,[.] SPI1_IRQHandler,2
+Coremark,[.] SPI2_IRQHandler,2
+Coremark,[.] SPI3_IRQHandler,2
+Coremark,[.] __sprint_r,22
+Coremark,[.] __sprint_r.part.0,120
+Coremark,[.] __sread,34
+Coremark,[.] __sseek,34
+Coremark,[.] _stat,78
+Coremark,[.] stdio_exit_handler,24
+Coremark,[.] strcasecmp,76
+Coremark,[.] strcat,62
+Coremark,[.] strchr,206
+Coremark,[.] strcmp,734
+Coremark,[.] strcpy,186
+Coremark,[.] strlcpy,76
+Coremark,[.] strlen,216
+Coremark,[.] strncasecmp,84
+Coremark,[.] strncmp,138
+Coremark,[.] strncpy,104
+Coremark,[.] strtol,24
+Coremark,[.] strtol_l,24
+Coremark,[.] _strtol_l.isra.0,312
+Coremark,[.] _strtol_r,2
+Coremark,[.] __subdf3,634
+Coremark,[.] SVC_Handler,2
+Coremark,[.] __swbuf,24
+Coremark,[.] __swbuf_r,172
+Coremark,[.] __swhatbuf_r,96
+Coremark,[.] _swiclose,68
+Coremark,[.] _swilseek,144
+Coremark,[.] _swiopen,156
+Coremark,[.] _swiread,88
+Coremark,[.] _swiwrite,88
+Coremark,[.] SWPMI1_IRQHandler,2
+Coremark,[.] __swrite,62
+Coremark,[.] __swsetup_r,196
+Coremark,[.] sysconf,26
+Coremark,[.] _system,86
+Coremark,[.] SystemClock_Config,144
+Coremark,[.] SystemInit,80
+Coremark,[.] SysTick_Handler,4
+Coremark,[.] TAMP_STAMP_IRQHandler,2
+Coremark,[.] TIM1_BRK_TIM15_IRQHandler,2
+Coremark,[.] TIM1_CC_IRQHandler,2
+Coremark,[.] TIM1_TRG_COM_TIM17_IRQHandler,2
+Coremark,[.] TIM1_UP_TIM16_IRQHandler,2
+Coremark,[.] TIM2_IRQHandler,2
+Coremark,[.] TIM3_IRQHandler,2
+Coremark,[.] TIM4_IRQHandler,2
+Coremark,[.] TIM5_IRQHandler,2
+Coremark,[.] TIM6_DAC_IRQHandler,2
+Coremark,[.] TIM7_IRQHandler,2
+Coremark,[.] TIM8_BRK_IRQHandler,2
+Coremark,[.] TIM8_CC_IRQHandler,2
+Coremark,[.] TIM8_TRG_COM_IRQHandler,2
+Coremark,[.] TIM8_UP_IRQHandler,2
+Coremark,[.] _times,30
+Coremark,[.] TSC_IRQHandler,2
+Coremark,[.] UART4_IRQHandler,2
+Coremark,[.] UART5_IRQHandler,2
+Coremark,[.] __ulp,100
+Coremark,[.] _unlink,68
+Coremark,[.] __unorddf2,44
+Coremark,[.] UsageFault_Handler,2
+Coremark,[.] USART1_IRQHandler,2
+Coremark,[.] USART2_IRQHandler,2
+Coremark,[.] USART3_IRQHandler,2
+Coremark,[.] __utf8_mbtowc,466
+Coremark,[.] __utf8_wctomb,156
+Coremark,[.] vfiprintf,28
+Coremark,[.] _vfiprintf_r,6498
+Coremark,[.] vfprintf,28
+Coremark,[.] _vfprintf_r,10296
+Coremark,[.] wcrtomb,88
+Coremark,[.] _wcrtomb_r,76
+Coremark,[.] wcsnrtombs,44
+Coremark,[.] _wcsnrtombs_l,250
+Coremark,[.] _wcsnrtombs_r,36
+Coremark,[.] wcsrtombs,36
+Coremark,[.] _wcsrtombs_r,22
+Coremark,[.] _wctomb_r,20
+Coremark,[.] _write,132
+Coremark,[.] _write_r,44
+Coremark,[.] WWDG_IRQHandler,2
diff --git a/09-check_regression/csv-results-vs-first-0/sve.csv b/09-check_regression/csv-results-vs-first-0/sve.csv
new file mode 100644
index 0000000..3b65cd8
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-0/sve.csv
@@ -0,0 +1 @@
+benchmark,symbol,num_sve_loops
diff --git a/09-check_regression/csv-results-vs-first-0/vect.csv b/09-check_regression/csv-results-vs-first-0/vect.csv
new file mode 100644
index 0000000..2b88a4e
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-0/vect.csv
@@ -0,0 +1 @@
+benchmark,symbol,num_vect_loops
diff --git a/09-check_regression/csv-results-vs-first-1/md5sum.csv b/09-check_regression/csv-results-vs-first-1/md5sum.csv
new file mode 100644
index 0000000..820a9e5
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-1/md5sum.csv
@@ -0,0 +1 @@
+benchmark,symbol,symbol_md5sum
diff --git a/09-check_regression/csv-results-vs-first-1/perf.csv b/09-check_regression/csv-results-vs-first-1/perf.csv
new file mode 100644
index 0000000..a9e9a14
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-1/perf.csv
@@ -0,0 +1,2 @@
+benchmark,symbol,sample
+coremark,coremark,14899
diff --git a/09-check_regression/csv-results-vs-first-1/results.csv b/09-check_regression/csv-results-vs-first-1/results.csv
new file mode 100644
index 0000000..9b7c658
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-1/results.csv
@@ -0,0 +1,347 @@
+benchmark,symbol,sample,size,num_vect_loops,num_sve_loops,symbol_md5sum
+Coremark,coremark.exe,-1,66884,-1,-1,-1
+Coremark,[.] abort,-1,14,-1,-1,-1
+Coremark,[.] ADC1_2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] ADC3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __adddf3,-1,630,-1,-1,-1
+Coremark,[.] __aeabi_cdcmpeq,-1,16,-1,-1,-1
+Coremark,[.] __aeabi_cdcmple,-1,16,-1,-1,-1
+Coremark,[.] __aeabi_cdrcmple,-1,32,-1,-1,-1
+Coremark,[.] __aeabi_d2iz,-1,78,-1,-1,-1
+Coremark,[.] __aeabi_d2uiz,-1,64,-1,-1,-1
+Coremark,[.] __aeabi_dadd,-1,630,-1,-1,-1
+Coremark,[.] __aeabi_dcmpeq,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpge,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpgt,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmple,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmplt,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpun,-1,44,-1,-1,-1
+Coremark,[.] __aeabi_ddiv,-1,464,-1,-1,-1
+Coremark,[.] __aeabi_dmul,-1,596,-1,-1,-1
+Coremark,[.] __aeabi_dsub,-1,634,-1,-1,-1
+Coremark,[.] __aeabi_f2d,-1,66,-1,-1,-1
+Coremark,[.] __aeabi_i2d,-1,34,-1,-1,-1
+Coremark,[.] __aeabi_l2d,-1,90,-1,-1,-1
+Coremark,[.] __aeabi_ui2d,-1,30,-1,-1,-1
+Coremark,[.] __aeabi_ul2d,-1,106,-1,-1,-1
+Coremark,[.] __any_on,-1,92,-1,-1,-1
+Coremark,[.] __ascii_mbtowc,-1,42,-1,-1,-1
+Coremark,[.] __ascii_wctomb,-1,26,-1,-1,-1
+Coremark,[.] __assert,-1,10,-1,-1,-1
+Coremark,[.] __assert_func,-1,64,-1,-1,-1
+Coremark,[.] atexit,-1,12,-1,-1,-1
+Coremark,[.] __b2d,-1,188,-1,-1,-1
+Coremark,[.] _Balloc,-1,76,-1,-1,-1
+Coremark,[.] _Bfree,-1,18,-1,-1,-1
+Coremark,[.] BusFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] calc_func,-1,4088,-1,-1,-1
+Coremark,[.] __call_exitprocs,-1,176,-1,-1,-1
+Coremark,[.] _calloc_r,-1,108,-1,-1,-1
+Coremark,[.] CAN1_RX0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_RX1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_SCE_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_TX_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] cleanup_stdio,-1,64,-1,-1,-1
+Coremark,[.] _close,-1,40,-1,-1,-1
+Coremark,[.] _close_r,-1,36,-1,-1,-1
+Coremark,[.] __cmpdf2,-1,122,-1,-1,-1
+Coremark,[.] COMP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __copybits,-1,90,-1,-1,-1
+Coremark,[.] core_bench_list,-1,1504,-1,-1,-1
+Coremark,[.] core_state_transition,-1,436,-1,-1,-1
+Coremark,[.] crcu16,-1,280,-1,-1,-1
+Coremark,[.] currentlocale,-1,92,-1,-1,-1
+Coremark,[.] __d2b,-1,192,-1,-1,-1
+Coremark,[.] DebugMon_Handler,-1,2,-1,-1,-1
+Coremark,[.] Default_Handler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __divdf3,-1,464,-1,-1,-1
+Coremark,[.] DMA1_Channel1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel6_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel6_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _dtoa_r,-1,3716,-1,-1,-1
+Coremark,[.] __env_lock,-1,2,-1,-1,-1
+Coremark,[.] __env_unlock,-1,2,-1,-1,-1
+Coremark,[.] __eqdf2,-1,122,-1,-1,-1
+Coremark,[.] __errno,-1,12,-1,-1,-1
+Coremark,[.] __eucjp_mbtowc,-1,190,-1,-1,-1
+Coremark,[.] __eucjp_wctomb,-1,124,-1,-1,-1
+Coremark,[.] _exit,-1,20,-1,-1,-1
+Coremark,[.] exit,-1,28,-1,-1,-1
+Coremark,[.] __extendsfdf2,-1,66,-1,-1,-1
+Coremark,[.] EXTI0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI15_10_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI9_5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] fclose,-1,16,-1,-1,-1
+Coremark,[.] _fclose_r,-1,128,-1,-1,-1
+Coremark,[.] fflush,-1,72,-1,-1,-1
+Coremark,[.] _fflush_r,-1,44,-1,-1,-1
+Coremark,[.] _findenv_r,-1,128,-1,-1,-1
+Coremark,[.] fiprintf,-1,40,-1,-1,-1
+Coremark,[.] _fiprintf_r,-1,28,-1,-1,-1
+Coremark,[.] __fixdfsi,-1,78,-1,-1,-1
+Coremark,[.] __fixunsdfsi,-1,64,-1,-1,-1
+Coremark,[.] FLASH_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __floatdidf,-1,90,-1,-1,-1
+Coremark,[.] __floatsidf,-1,34,-1,-1,-1
+Coremark,[.] __floatundidf,-1,106,-1,-1,-1
+Coremark,[.] __floatunsidf,-1,30,-1,-1,-1
+Coremark,[.] FMC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __fp_lock,-1,4,-1,-1,-1
+Coremark,[.] __fp_lock_all,-1,20,-1,-1,-1
+Coremark,[.] FPU_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __fp_unlock,-1,4,-1,-1,-1
+Coremark,[.] __fp_unlock_all,-1,20,-1,-1,-1
+Coremark,[.] __fputwc,-1,152,-1,-1,-1
+Coremark,[.] fputwc,-1,72,-1,-1,-1
+Coremark,[.] _fputwc_r,-1,38,-1,-1,-1
+Coremark,[.] _free_r,-1,504,-1,-1,-1
+Coremark,[.] frexp,-1,100,-1,-1,-1
+Coremark,[.] _fstat,-1,30,-1,-1,-1
+Coremark,[.] _fstat_r,-1,44,-1,-1,-1
+Coremark,[.] _fwalk_sglue,-1,68,-1,-1,-1
+Coremark,[.] __gedf2,-1,138,-1,-1,-1
+Coremark,[.] get_arg,-1,2976,-1,-1,-1
+Coremark,[.] _getenv_r,-1,16,-1,-1,-1
+Coremark,[.] __get_locale_env,-1,80,-1,-1,-1
+Coremark,[.] _getpid,-1,4,-1,-1,-1
+Coremark,[.] _getpid_r,-1,4,-1,-1,-1
+Coremark,[.] get_seed_args,-1,152,-1,-1,-1
+Coremark,[.] _gettimeofday,-1,40,-1,-1,-1
+Coremark,[.] global_stdio_init.part.0,-1,208,-1,-1,-1
+Coremark,[.] __gtdf2,-1,138,-1,-1,-1
+Coremark,[.] HAL_GetTick,-1,12,-1,-1,-1
+Coremark,[.] HAL_IncTick,-1,24,-1,-1,-1
+Coremark,[.] hal_init,-1,14,-1,-1,-1
+Coremark,[.] HAL_Init,-1,48,-1,-1,-1
+Coremark,[.] HAL_InitTick,-1,76,-1,-1,-1
+Coremark,[.] HAL_MspInit,-1,2,-1,-1,-1
+Coremark,[.] HAL_NVIC_SetPriority,-1,120,-1,-1,-1
+Coremark,[.] HAL_NVIC_SetPriorityGrouping,-1,36,-1,-1,-1
+Coremark,[.] HAL_PWREx_ControlVoltageScaling,-1,128,-1,-1,-1
+Coremark,[.] HAL_PWREx_GetVoltageRange,-1,16,-1,-1,-1
+Coremark,[.] HAL_RCC_ClockConfig,-1,304,-1,-1,-1
+Coremark,[.] HAL_RCCEx_PeriphCLKConfig,-1,1072,-1,-1,-1
+Coremark,[.] HAL_RCC_GetSysClockFreq,-1,172,-1,-1,-1
+Coremark,[.] HAL_RCC_OscConfig,-1,1520,-1,-1,-1
+Coremark,[.] HAL_SYSTICK_Config,-1,52,-1,-1,-1
+Coremark,[.] HardFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] __hi0bits,-1,68,-1,-1,-1
+Coremark,[.] __i2b,-1,92,-1,-1,-1
+Coremark,[.] I2C1_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C1_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C2_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C2_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C3_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C3_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] initialise_monitor_handles,-1,108,-1,-1,-1
+Coremark,[.] _init_signal,-1,56,-1,-1,-1
+Coremark,[.] _init_signal_r,-1,48,-1,-1,-1
+Coremark,[.] _isatty,-1,112,-1,-1,-1
+Coremark,[.] _isatty_r,-1,36,-1,-1,-1
+Coremark,[.] __jis_mbtowc,-1,300,-1,-1,-1
+Coremark,[.] __jis_wctomb,-1,124,-1,-1,-1
+Coremark,[.] _kill,-1,40,-1,-1,-1
+Coremark,[.] _kill_r,-1,44,-1,-1,-1
+Coremark,[.] LCD_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __ledf2,-1,130,-1,-1,-1
+Coremark,[.] __libc_fini_array,-1,40,-1,-1,-1
+Coremark,[.] __libc_init_array,-1,80,-1,-1,-1
+Coremark,[.] _link,-1,16,-1,-1,-1
+Coremark,[.] __lo0bits,-1,94,-1,-1,-1
+Coremark,[.] __loadlocale,-1,1728,-1,-1,-1
+Coremark,[.] localeconv,-1,8,-1,-1,-1
+Coremark,[.] __localeconv_l,-1,4,-1,-1,-1
+Coremark,[.] _localeconv_r,-1,8,-1,-1,-1
+Coremark,[.] __locale_mb_cur_max,-1,12,-1,-1,-1
+Coremark,[.] LPTIM1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] LPTIM2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] LPUART1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _lseek,-1,40,-1,-1,-1
+Coremark,[.] _lseek_r,-1,44,-1,-1,-1
+Coremark,[.] __lshift,-1,240,-1,-1,-1
+Coremark,[.] __ltdf2,-1,130,-1,-1,-1
+Coremark,[.] main,-1,3652,-1,-1,-1
+Coremark,[.] __malloc_lock,-1,2,-1,-1,-1
+Coremark,[.] _malloc_r,-1,1364,-1,-1,-1
+Coremark,[.] _malloc_trim_r,-1,184,-1,-1,-1
+Coremark,[.] __malloc_unlock,-1,2,-1,-1,-1
+Coremark,[.] _mbtowc_r,-1,20,-1,-1,-1
+Coremark,[.] __mcmp,-1,66,-1,-1,-1
+Coremark,[.] __mdiff,-1,376,-1,-1,-1
+Coremark,[.] memcpy,-1,308,-1,-1,-1
+Coremark,[.] MemManage_Handler,-1,2,-1,-1,-1
+Coremark,[.] memmove,-1,254,-1,-1,-1
+Coremark,[.] memset,-1,162,-1,-1,-1
+Coremark,[.] _mprec_log10,-1,64,-1,-1,-1
+Coremark,[.] __muldf3,-1,596,-1,-1,-1
+Coremark,[.] __multadd,-1,160,-1,-1,-1
+Coremark,[.] __multiply,-1,364,-1,-1,-1
+Coremark,[.] __nedf2,-1,122,-1,-1,-1
+Coremark,[.] NMI_Handler,-1,2,-1,-1,-1
+Coremark,[.] _open,-1,56,-1,-1,-1
+Coremark,[.] OTG_FS_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] PendSV_Handler,-1,2,-1,-1,-1
+Coremark,[.] __pow5mult,-1,180,-1,-1,-1
+Coremark,[.] printf,-1,40,-1,-1,-1
+Coremark,[.] _printf_r,-1,30,-1,-1,-1
+Coremark,[.] putc,-1,88,-1,-1,-1
+Coremark,[.] putchar,-1,16,-1,-1,-1
+Coremark,[.] _putchar_r,-1,6,-1,-1,-1
+Coremark,[.] _putc_r,-1,76,-1,-1,-1
+Coremark,[.] puts,-1,16,-1,-1,-1
+Coremark,[.] _puts_r,-1,104,-1,-1,-1
+Coremark,[.] PVD_PVM_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] QUADSPI_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] quorem,-1,300,-1,-1,-1
+Coremark,[.] _raise,-1,2,-1,-1,-1
+Coremark,[.] raise,-1,88,-1,-1,-1
+Coremark,[.] _raise_r,-1,82,-1,-1,-1
+Coremark,[.] __ratio,-1,96,-1,-1,-1
+Coremark,[.] RCCEx_PLLSAI1_Config,-1,284,-1,-1,-1
+Coremark,[.] RCCEx_PLLSAI2_Config,-1,256,-1,-1,-1
+Coremark,[.] RCC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RCC_SetFlashLatencyFromMSIRange,-1,140,-1,-1,-1
+Coremark,[.] _read,-1,128,-1,-1,-1
+Coremark,[.] _read_r,-1,44,-1,-1,-1
+Coremark,[.] _realloc_r,-1,836,-1,-1,-1
+Coremark,[.] __register_exitproc,-1,100,-1,-1,-1
+Coremark,[.] remap_handle,-1,64,-1,-1,-1
+Coremark,[.] _rename,-1,82,-1,-1,-1
+Coremark,[.] Reset_Handler,-1,54,-1,-1,-1
+Coremark,[.] RNG_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RTC_Alarm_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RTC_WKUP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __s2b,-1,180,-1,-1,-1
+Coremark,[.] SAI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SAI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __sbprintf,-1,212,-1,-1,-1
+Coremark,[.] _sbrk,-1,80,-1,-1,-1
+Coremark,[.] _sbrk_r,-1,36,-1,-1,-1
+Coremark,[.] __sclose,-1,8,-1,-1,-1
+Coremark,[.] SDMMC1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __seofread,-1,4,-1,-1,-1
+Coremark,[.] __set_ctype,-1,12,-1,-1,-1
+Coremark,[.] setlocale,-1,24,-1,-1,-1
+Coremark,[.] _setlocale_r,-1,552,-1,-1,-1
+Coremark,[.] __sflush_r,-1,312,-1,-1,-1
+Coremark,[.] __sfp,-1,164,-1,-1,-1
+Coremark,[.] __sfp_lock_acquire,-1,2,-1,-1,-1
+Coremark,[.] __sfp_lock_release,-1,2,-1,-1,-1
+Coremark,[.] __sfvwrite_r,-1,768,-1,-1,-1
+Coremark,[.] signal,-1,80,-1,-1,-1
+Coremark,[.] _signal_r,-1,78,-1,-1,-1
+Coremark,[.] __sigtramp,-1,104,-1,-1,-1
+Coremark,[.] __sigtramp_r,-1,100,-1,-1,-1
+Coremark,[.] __sinit,-1,32,-1,-1,-1
+Coremark,[.] __sjis_mbtowc,-1,142,-1,-1,-1
+Coremark,[.] __sjis_wctomb,-1,86,-1,-1,-1
+Coremark,[.] __smakebuf_r,-1,220,-1,-1,-1
+Coremark,[.] SPI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SPI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SPI3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __sprint_r,-1,22,-1,-1,-1
+Coremark,[.] __sprint_r.part.0,-1,120,-1,-1,-1
+Coremark,[.] __sread,-1,34,-1,-1,-1
+Coremark,[.] __sseek,-1,34,-1,-1,-1
+Coremark,[.] _stat,-1,78,-1,-1,-1
+Coremark,[.] stdio_exit_handler,-1,24,-1,-1,-1
+Coremark,[.] strcasecmp,-1,76,-1,-1,-1
+Coremark,[.] strcat,-1,62,-1,-1,-1
+Coremark,[.] strchr,-1,206,-1,-1,-1
+Coremark,[.] strcmp,-1,734,-1,-1,-1
+Coremark,[.] strcpy,-1,186,-1,-1,-1
+Coremark,[.] strlcpy,-1,76,-1,-1,-1
+Coremark,[.] strlen,-1,216,-1,-1,-1
+Coremark,[.] strncasecmp,-1,84,-1,-1,-1
+Coremark,[.] strncmp,-1,138,-1,-1,-1
+Coremark,[.] strncpy,-1,104,-1,-1,-1
+Coremark,[.] strtol,-1,24,-1,-1,-1
+Coremark,[.] strtol_l,-1,24,-1,-1,-1
+Coremark,[.] _strtol_l.isra.0,-1,312,-1,-1,-1
+Coremark,[.] _strtol_r,-1,2,-1,-1,-1
+Coremark,[.] __subdf3,-1,634,-1,-1,-1
+Coremark,[.] SVC_Handler,-1,2,-1,-1,-1
+Coremark,[.] __swbuf,-1,24,-1,-1,-1
+Coremark,[.] __swbuf_r,-1,172,-1,-1,-1
+Coremark,[.] __swhatbuf_r,-1,96,-1,-1,-1
+Coremark,[.] _swiclose,-1,68,-1,-1,-1
+Coremark,[.] _swilseek,-1,144,-1,-1,-1
+Coremark,[.] _swiopen,-1,156,-1,-1,-1
+Coremark,[.] _swiread,-1,88,-1,-1,-1
+Coremark,[.] _swiwrite,-1,88,-1,-1,-1
+Coremark,[.] SWPMI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __swrite,-1,62,-1,-1,-1
+Coremark,[.] __swsetup_r,-1,196,-1,-1,-1
+Coremark,[.] sysconf,-1,26,-1,-1,-1
+Coremark,[.] _system,-1,86,-1,-1,-1
+Coremark,[.] SystemClock_Config,-1,144,-1,-1,-1
+Coremark,[.] SystemInit,-1,80,-1,-1,-1
+Coremark,[.] SysTick_Handler,-1,4,-1,-1,-1
+Coremark,[.] TAMP_STAMP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_BRK_TIM15_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_CC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_TRG_COM_TIM17_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_UP_TIM16_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM6_DAC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_BRK_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_CC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_TRG_COM_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_UP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _times,-1,30,-1,-1,-1
+Coremark,[.] TSC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] UART4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] UART5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __ulp,-1,100,-1,-1,-1
+Coremark,[.] _unlink,-1,68,-1,-1,-1
+Coremark,[.] __unorddf2,-1,44,-1,-1,-1
+Coremark,[.] UsageFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] USART1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] USART2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] USART3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __utf8_mbtowc,-1,466,-1,-1,-1
+Coremark,[.] __utf8_wctomb,-1,156,-1,-1,-1
+Coremark,[.] vfiprintf,-1,28,-1,-1,-1
+Coremark,[.] _vfiprintf_r,-1,6498,-1,-1,-1
+Coremark,[.] vfprintf,-1,28,-1,-1,-1
+Coremark,[.] _vfprintf_r,-1,10296,-1,-1,-1
+Coremark,[.] wcrtomb,-1,88,-1,-1,-1
+Coremark,[.] _wcrtomb_r,-1,76,-1,-1,-1
+Coremark,[.] wcsnrtombs,-1,44,-1,-1,-1
+Coremark,[.] _wcsnrtombs_l,-1,250,-1,-1,-1
+Coremark,[.] _wcsnrtombs_r,-1,36,-1,-1,-1
+Coremark,[.] wcsrtombs,-1,36,-1,-1,-1
+Coremark,[.] _wcsrtombs_r,-1,22,-1,-1,-1
+Coremark,[.] _wctomb_r,-1,20,-1,-1,-1
+Coremark,[.] _write,-1,132,-1,-1,-1
+Coremark,[.] _write_r,-1,44,-1,-1,-1
+Coremark,[.] WWDG_IRQHandler,-1,2,-1,-1,-1
+Mean,mean,14899,-1,-1,-1,-1
+Mean,geomean-successful-tests,14899,-1,-1,-1,-1
+Mean,geomean-all-tests,14899,-1,-1,-1,-1
+Mean,average-successful-tests,14899,-1,-1,-1,-1
+Mean,average-all-tests,14899,-1,-1,-1,-1
+coremark,coremark,14899,-1,-1,-1,-1
diff --git a/09-check_regression/csv-results-vs-first-1/size.csv b/09-check_regression/csv-results-vs-first-1/size.csv
new file mode 100644
index 0000000..a57f24a
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-1/size.csv
@@ -0,0 +1,341 @@
+benchmark,symbol,size
+Coremark,coremark.exe,66884
+Coremark,[.] abort,14
+Coremark,[.] ADC1_2_IRQHandler,2
+Coremark,[.] ADC3_IRQHandler,2
+Coremark,[.] __adddf3,630
+Coremark,[.] __aeabi_cdcmpeq,16
+Coremark,[.] __aeabi_cdcmple,16
+Coremark,[.] __aeabi_cdrcmple,32
+Coremark,[.] __aeabi_d2iz,78
+Coremark,[.] __aeabi_d2uiz,64
+Coremark,[.] __aeabi_dadd,630
+Coremark,[.] __aeabi_dcmpeq,18
+Coremark,[.] __aeabi_dcmpge,18
+Coremark,[.] __aeabi_dcmpgt,18
+Coremark,[.] __aeabi_dcmple,18
+Coremark,[.] __aeabi_dcmplt,18
+Coremark,[.] __aeabi_dcmpun,44
+Coremark,[.] __aeabi_ddiv,464
+Coremark,[.] __aeabi_dmul,596
+Coremark,[.] __aeabi_dsub,634
+Coremark,[.] __aeabi_f2d,66
+Coremark,[.] __aeabi_i2d,34
+Coremark,[.] __aeabi_l2d,90
+Coremark,[.] __aeabi_ui2d,30
+Coremark,[.] __aeabi_ul2d,106
+Coremark,[.] __any_on,92
+Coremark,[.] __ascii_mbtowc,42
+Coremark,[.] __ascii_wctomb,26
+Coremark,[.] __assert,10
+Coremark,[.] __assert_func,64
+Coremark,[.] atexit,12
+Coremark,[.] __b2d,188
+Coremark,[.] _Balloc,76
+Coremark,[.] _Bfree,18
+Coremark,[.] BusFault_Handler,2
+Coremark,[.] calc_func,4088
+Coremark,[.] __call_exitprocs,176
+Coremark,[.] _calloc_r,108
+Coremark,[.] CAN1_RX0_IRQHandler,2
+Coremark,[.] CAN1_RX1_IRQHandler,2
+Coremark,[.] CAN1_SCE_IRQHandler,2
+Coremark,[.] CAN1_TX_IRQHandler,2
+Coremark,[.] cleanup_stdio,64
+Coremark,[.] _close,40
+Coremark,[.] _close_r,36
+Coremark,[.] __cmpdf2,122
+Coremark,[.] COMP_IRQHandler,2
+Coremark,[.] __copybits,90
+Coremark,[.] core_bench_list,1504
+Coremark,[.] core_state_transition,436
+Coremark,[.] crcu16,280
+Coremark,[.] currentlocale,92
+Coremark,[.] __d2b,192
+Coremark,[.] DebugMon_Handler,2
+Coremark,[.] Default_Handler,2
+Coremark,[.] DFSDM1_FLT0_IRQHandler,2
+Coremark,[.] DFSDM1_FLT1_IRQHandler,2
+Coremark,[.] DFSDM1_FLT2_IRQHandler,2
+Coremark,[.] DFSDM1_FLT3_IRQHandler,2
+Coremark,[.] __divdf3,464
+Coremark,[.] DMA1_Channel1_IRQHandler,2
+Coremark,[.] DMA1_Channel2_IRQHandler,2
+Coremark,[.] DMA1_Channel3_IRQHandler,2
+Coremark,[.] DMA1_Channel4_IRQHandler,2
+Coremark,[.] DMA1_Channel5_IRQHandler,2
+Coremark,[.] DMA1_Channel6_IRQHandler,2
+Coremark,[.] DMA1_Channel7_IRQHandler,2
+Coremark,[.] DMA2_Channel1_IRQHandler,2
+Coremark,[.] DMA2_Channel2_IRQHandler,2
+Coremark,[.] DMA2_Channel3_IRQHandler,2
+Coremark,[.] DMA2_Channel4_IRQHandler,2
+Coremark,[.] DMA2_Channel5_IRQHandler,2
+Coremark,[.] DMA2_Channel6_IRQHandler,2
+Coremark,[.] DMA2_Channel7_IRQHandler,2
+Coremark,[.] _dtoa_r,3716
+Coremark,[.] __env_lock,2
+Coremark,[.] __env_unlock,2
+Coremark,[.] __eqdf2,122
+Coremark,[.] __errno,12
+Coremark,[.] __eucjp_mbtowc,190
+Coremark,[.] __eucjp_wctomb,124
+Coremark,[.] _exit,20
+Coremark,[.] exit,28
+Coremark,[.] __extendsfdf2,66
+Coremark,[.] EXTI0_IRQHandler,2
+Coremark,[.] EXTI15_10_IRQHandler,2
+Coremark,[.] EXTI1_IRQHandler,2
+Coremark,[.] EXTI2_IRQHandler,2
+Coremark,[.] EXTI3_IRQHandler,2
+Coremark,[.] EXTI4_IRQHandler,2
+Coremark,[.] EXTI9_5_IRQHandler,2
+Coremark,[.] fclose,16
+Coremark,[.] _fclose_r,128
+Coremark,[.] fflush,72
+Coremark,[.] _fflush_r,44
+Coremark,[.] _findenv_r,128
+Coremark,[.] fiprintf,40
+Coremark,[.] _fiprintf_r,28
+Coremark,[.] __fixdfsi,78
+Coremark,[.] __fixunsdfsi,64
+Coremark,[.] FLASH_IRQHandler,2
+Coremark,[.] __floatdidf,90
+Coremark,[.] __floatsidf,34
+Coremark,[.] __floatundidf,106
+Coremark,[.] __floatunsidf,30
+Coremark,[.] FMC_IRQHandler,2
+Coremark,[.] __fp_lock,4
+Coremark,[.] __fp_lock_all,20
+Coremark,[.] FPU_IRQHandler,2
+Coremark,[.] __fp_unlock,4
+Coremark,[.] __fp_unlock_all,20
+Coremark,[.] __fputwc,152
+Coremark,[.] fputwc,72
+Coremark,[.] _fputwc_r,38
+Coremark,[.] _free_r,504
+Coremark,[.] frexp,100
+Coremark,[.] _fstat,30
+Coremark,[.] _fstat_r,44
+Coremark,[.] _fwalk_sglue,68
+Coremark,[.] __gedf2,138
+Coremark,[.] get_arg,2976
+Coremark,[.] _getenv_r,16
+Coremark,[.] __get_locale_env,80
+Coremark,[.] _getpid,4
+Coremark,[.] _getpid_r,4
+Coremark,[.] get_seed_args,152
+Coremark,[.] _gettimeofday,40
+Coremark,[.] global_stdio_init.part.0,208
+Coremark,[.] __gtdf2,138
+Coremark,[.] HAL_GetTick,12
+Coremark,[.] HAL_IncTick,24
+Coremark,[.] hal_init,14
+Coremark,[.] HAL_Init,48
+Coremark,[.] HAL_InitTick,76
+Coremark,[.] HAL_MspInit,2
+Coremark,[.] HAL_NVIC_SetPriority,120
+Coremark,[.] HAL_NVIC_SetPriorityGrouping,36
+Coremark,[.] HAL_PWREx_ControlVoltageScaling,128
+Coremark,[.] HAL_PWREx_GetVoltageRange,16
+Coremark,[.] HAL_RCC_ClockConfig,304
+Coremark,[.] HAL_RCCEx_PeriphCLKConfig,1072
+Coremark,[.] HAL_RCC_GetSysClockFreq,172
+Coremark,[.] HAL_RCC_OscConfig,1520
+Coremark,[.] HAL_SYSTICK_Config,52
+Coremark,[.] HardFault_Handler,2
+Coremark,[.] __hi0bits,68
+Coremark,[.] __i2b,92
+Coremark,[.] I2C1_ER_IRQHandler,2
+Coremark,[.] I2C1_EV_IRQHandler,2
+Coremark,[.] I2C2_ER_IRQHandler,2
+Coremark,[.] I2C2_EV_IRQHandler,2
+Coremark,[.] I2C3_ER_IRQHandler,2
+Coremark,[.] I2C3_EV_IRQHandler,2
+Coremark,[.] initialise_monitor_handles,108
+Coremark,[.] _init_signal,56
+Coremark,[.] _init_signal_r,48
+Coremark,[.] _isatty,112
+Coremark,[.] _isatty_r,36
+Coremark,[.] __jis_mbtowc,300
+Coremark,[.] __jis_wctomb,124
+Coremark,[.] _kill,40
+Coremark,[.] _kill_r,44
+Coremark,[.] LCD_IRQHandler,2
+Coremark,[.] __ledf2,130
+Coremark,[.] __libc_fini_array,40
+Coremark,[.] __libc_init_array,80
+Coremark,[.] _link,16
+Coremark,[.] __lo0bits,94
+Coremark,[.] __loadlocale,1728
+Coremark,[.] localeconv,8
+Coremark,[.] __localeconv_l,4
+Coremark,[.] _localeconv_r,8
+Coremark,[.] __locale_mb_cur_max,12
+Coremark,[.] LPTIM1_IRQHandler,2
+Coremark,[.] LPTIM2_IRQHandler,2
+Coremark,[.] LPUART1_IRQHandler,2
+Coremark,[.] _lseek,40
+Coremark,[.] _lseek_r,44
+Coremark,[.] __lshift,240
+Coremark,[.] __ltdf2,130
+Coremark,[.] main,3652
+Coremark,[.] __malloc_lock,2
+Coremark,[.] _malloc_r,1364
+Coremark,[.] _malloc_trim_r,184
+Coremark,[.] __malloc_unlock,2
+Coremark,[.] _mbtowc_r,20
+Coremark,[.] __mcmp,66
+Coremark,[.] __mdiff,376
+Coremark,[.] memcpy,308
+Coremark,[.] MemManage_Handler,2
+Coremark,[.] memmove,254
+Coremark,[.] memset,162
+Coremark,[.] _mprec_log10,64
+Coremark,[.] __muldf3,596
+Coremark,[.] __multadd,160
+Coremark,[.] __multiply,364
+Coremark,[.] __nedf2,122
+Coremark,[.] NMI_Handler,2
+Coremark,[.] _open,56
+Coremark,[.] OTG_FS_IRQHandler,2
+Coremark,[.] PendSV_Handler,2
+Coremark,[.] __pow5mult,180
+Coremark,[.] printf,40
+Coremark,[.] _printf_r,30
+Coremark,[.] putc,88
+Coremark,[.] putchar,16
+Coremark,[.] _putchar_r,6
+Coremark,[.] _putc_r,76
+Coremark,[.] puts,16
+Coremark,[.] _puts_r,104
+Coremark,[.] PVD_PVM_IRQHandler,2
+Coremark,[.] QUADSPI_IRQHandler,2
+Coremark,[.] quorem,300
+Coremark,[.] _raise,2
+Coremark,[.] raise,88
+Coremark,[.] _raise_r,82
+Coremark,[.] __ratio,96
+Coremark,[.] RCCEx_PLLSAI1_Config,284
+Coremark,[.] RCCEx_PLLSAI2_Config,256
+Coremark,[.] RCC_IRQHandler,2
+Coremark,[.] RCC_SetFlashLatencyFromMSIRange,140
+Coremark,[.] _read,128
+Coremark,[.] _read_r,44
+Coremark,[.] _realloc_r,836
+Coremark,[.] __register_exitproc,100
+Coremark,[.] remap_handle,64
+Coremark,[.] _rename,82
+Coremark,[.] Reset_Handler,54
+Coremark,[.] RNG_IRQHandler,2
+Coremark,[.] RTC_Alarm_IRQHandler,2
+Coremark,[.] RTC_WKUP_IRQHandler,2
+Coremark,[.] __s2b,180
+Coremark,[.] SAI1_IRQHandler,2
+Coremark,[.] SAI2_IRQHandler,2
+Coremark,[.] __sbprintf,212
+Coremark,[.] _sbrk,80
+Coremark,[.] _sbrk_r,36
+Coremark,[.] __sclose,8
+Coremark,[.] SDMMC1_IRQHandler,2
+Coremark,[.] __seofread,4
+Coremark,[.] __set_ctype,12
+Coremark,[.] setlocale,24
+Coremark,[.] _setlocale_r,552
+Coremark,[.] __sflush_r,312
+Coremark,[.] __sfp,164
+Coremark,[.] __sfp_lock_acquire,2
+Coremark,[.] __sfp_lock_release,2
+Coremark,[.] __sfvwrite_r,768
+Coremark,[.] signal,80
+Coremark,[.] _signal_r,78
+Coremark,[.] __sigtramp,104
+Coremark,[.] __sigtramp_r,100
+Coremark,[.] __sinit,32
+Coremark,[.] __sjis_mbtowc,142
+Coremark,[.] __sjis_wctomb,86
+Coremark,[.] __smakebuf_r,220
+Coremark,[.] SPI1_IRQHandler,2
+Coremark,[.] SPI2_IRQHandler,2
+Coremark,[.] SPI3_IRQHandler,2
+Coremark,[.] __sprint_r,22
+Coremark,[.] __sprint_r.part.0,120
+Coremark,[.] __sread,34
+Coremark,[.] __sseek,34
+Coremark,[.] _stat,78
+Coremark,[.] stdio_exit_handler,24
+Coremark,[.] strcasecmp,76
+Coremark,[.] strcat,62
+Coremark,[.] strchr,206
+Coremark,[.] strcmp,734
+Coremark,[.] strcpy,186
+Coremark,[.] strlcpy,76
+Coremark,[.] strlen,216
+Coremark,[.] strncasecmp,84
+Coremark,[.] strncmp,138
+Coremark,[.] strncpy,104
+Coremark,[.] strtol,24
+Coremark,[.] strtol_l,24
+Coremark,[.] _strtol_l.isra.0,312
+Coremark,[.] _strtol_r,2
+Coremark,[.] __subdf3,634
+Coremark,[.] SVC_Handler,2
+Coremark,[.] __swbuf,24
+Coremark,[.] __swbuf_r,172
+Coremark,[.] __swhatbuf_r,96
+Coremark,[.] _swiclose,68
+Coremark,[.] _swilseek,144
+Coremark,[.] _swiopen,156
+Coremark,[.] _swiread,88
+Coremark,[.] _swiwrite,88
+Coremark,[.] SWPMI1_IRQHandler,2
+Coremark,[.] __swrite,62
+Coremark,[.] __swsetup_r,196
+Coremark,[.] sysconf,26
+Coremark,[.] _system,86
+Coremark,[.] SystemClock_Config,144
+Coremark,[.] SystemInit,80
+Coremark,[.] SysTick_Handler,4
+Coremark,[.] TAMP_STAMP_IRQHandler,2
+Coremark,[.] TIM1_BRK_TIM15_IRQHandler,2
+Coremark,[.] TIM1_CC_IRQHandler,2
+Coremark,[.] TIM1_TRG_COM_TIM17_IRQHandler,2
+Coremark,[.] TIM1_UP_TIM16_IRQHandler,2
+Coremark,[.] TIM2_IRQHandler,2
+Coremark,[.] TIM3_IRQHandler,2
+Coremark,[.] TIM4_IRQHandler,2
+Coremark,[.] TIM5_IRQHandler,2
+Coremark,[.] TIM6_DAC_IRQHandler,2
+Coremark,[.] TIM7_IRQHandler,2
+Coremark,[.] TIM8_BRK_IRQHandler,2
+Coremark,[.] TIM8_CC_IRQHandler,2
+Coremark,[.] TIM8_TRG_COM_IRQHandler,2
+Coremark,[.] TIM8_UP_IRQHandler,2
+Coremark,[.] _times,30
+Coremark,[.] TSC_IRQHandler,2
+Coremark,[.] UART4_IRQHandler,2
+Coremark,[.] UART5_IRQHandler,2
+Coremark,[.] __ulp,100
+Coremark,[.] _unlink,68
+Coremark,[.] __unorddf2,44
+Coremark,[.] UsageFault_Handler,2
+Coremark,[.] USART1_IRQHandler,2
+Coremark,[.] USART2_IRQHandler,2
+Coremark,[.] USART3_IRQHandler,2
+Coremark,[.] __utf8_mbtowc,466
+Coremark,[.] __utf8_wctomb,156
+Coremark,[.] vfiprintf,28
+Coremark,[.] _vfiprintf_r,6498
+Coremark,[.] vfprintf,28
+Coremark,[.] _vfprintf_r,10296
+Coremark,[.] wcrtomb,88
+Coremark,[.] _wcrtomb_r,76
+Coremark,[.] wcsnrtombs,44
+Coremark,[.] _wcsnrtombs_l,250
+Coremark,[.] _wcsnrtombs_r,36
+Coremark,[.] wcsrtombs,36
+Coremark,[.] _wcsrtombs_r,22
+Coremark,[.] _wctomb_r,20
+Coremark,[.] _write,132
+Coremark,[.] _write_r,44
+Coremark,[.] WWDG_IRQHandler,2
diff --git a/09-check_regression/csv-results-vs-first-1/sve.csv b/09-check_regression/csv-results-vs-first-1/sve.csv
new file mode 100644
index 0000000..3b65cd8
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-1/sve.csv
@@ -0,0 +1 @@
+benchmark,symbol,num_sve_loops
diff --git a/09-check_regression/csv-results-vs-first-1/vect.csv b/09-check_regression/csv-results-vs-first-1/vect.csv
new file mode 100644
index 0000000..2b88a4e
--- /dev/null
+++ b/09-check_regression/csv-results-vs-first-1/vect.csv
@@ -0,0 +1 @@
+benchmark,symbol,num_vect_loops
diff --git a/09-check_regression/csv-results-vs-prev-0/md5sum.csv b/09-check_regression/csv-results-vs-prev-0/md5sum.csv
new file mode 100644
index 0000000..820a9e5
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-0/md5sum.csv
@@ -0,0 +1 @@
+benchmark,symbol,symbol_md5sum
diff --git a/09-check_regression/csv-results-vs-prev-0/perf.csv b/09-check_regression/csv-results-vs-prev-0/perf.csv
new file mode 100644
index 0000000..a9e9a14
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-0/perf.csv
@@ -0,0 +1,2 @@
+benchmark,symbol,sample
+coremark,coremark,14899
diff --git a/09-check_regression/csv-results-vs-prev-0/results.csv b/09-check_regression/csv-results-vs-prev-0/results.csv
new file mode 100644
index 0000000..9b7c658
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-0/results.csv
@@ -0,0 +1,347 @@
+benchmark,symbol,sample,size,num_vect_loops,num_sve_loops,symbol_md5sum
+Coremark,coremark.exe,-1,66884,-1,-1,-1
+Coremark,[.] abort,-1,14,-1,-1,-1
+Coremark,[.] ADC1_2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] ADC3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __adddf3,-1,630,-1,-1,-1
+Coremark,[.] __aeabi_cdcmpeq,-1,16,-1,-1,-1
+Coremark,[.] __aeabi_cdcmple,-1,16,-1,-1,-1
+Coremark,[.] __aeabi_cdrcmple,-1,32,-1,-1,-1
+Coremark,[.] __aeabi_d2iz,-1,78,-1,-1,-1
+Coremark,[.] __aeabi_d2uiz,-1,64,-1,-1,-1
+Coremark,[.] __aeabi_dadd,-1,630,-1,-1,-1
+Coremark,[.] __aeabi_dcmpeq,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpge,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpgt,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmple,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmplt,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpun,-1,44,-1,-1,-1
+Coremark,[.] __aeabi_ddiv,-1,464,-1,-1,-1
+Coremark,[.] __aeabi_dmul,-1,596,-1,-1,-1
+Coremark,[.] __aeabi_dsub,-1,634,-1,-1,-1
+Coremark,[.] __aeabi_f2d,-1,66,-1,-1,-1
+Coremark,[.] __aeabi_i2d,-1,34,-1,-1,-1
+Coremark,[.] __aeabi_l2d,-1,90,-1,-1,-1
+Coremark,[.] __aeabi_ui2d,-1,30,-1,-1,-1
+Coremark,[.] __aeabi_ul2d,-1,106,-1,-1,-1
+Coremark,[.] __any_on,-1,92,-1,-1,-1
+Coremark,[.] __ascii_mbtowc,-1,42,-1,-1,-1
+Coremark,[.] __ascii_wctomb,-1,26,-1,-1,-1
+Coremark,[.] __assert,-1,10,-1,-1,-1
+Coremark,[.] __assert_func,-1,64,-1,-1,-1
+Coremark,[.] atexit,-1,12,-1,-1,-1
+Coremark,[.] __b2d,-1,188,-1,-1,-1
+Coremark,[.] _Balloc,-1,76,-1,-1,-1
+Coremark,[.] _Bfree,-1,18,-1,-1,-1
+Coremark,[.] BusFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] calc_func,-1,4088,-1,-1,-1
+Coremark,[.] __call_exitprocs,-1,176,-1,-1,-1
+Coremark,[.] _calloc_r,-1,108,-1,-1,-1
+Coremark,[.] CAN1_RX0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_RX1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_SCE_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_TX_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] cleanup_stdio,-1,64,-1,-1,-1
+Coremark,[.] _close,-1,40,-1,-1,-1
+Coremark,[.] _close_r,-1,36,-1,-1,-1
+Coremark,[.] __cmpdf2,-1,122,-1,-1,-1
+Coremark,[.] COMP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __copybits,-1,90,-1,-1,-1
+Coremark,[.] core_bench_list,-1,1504,-1,-1,-1
+Coremark,[.] core_state_transition,-1,436,-1,-1,-1
+Coremark,[.] crcu16,-1,280,-1,-1,-1
+Coremark,[.] currentlocale,-1,92,-1,-1,-1
+Coremark,[.] __d2b,-1,192,-1,-1,-1
+Coremark,[.] DebugMon_Handler,-1,2,-1,-1,-1
+Coremark,[.] Default_Handler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __divdf3,-1,464,-1,-1,-1
+Coremark,[.] DMA1_Channel1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel6_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel6_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _dtoa_r,-1,3716,-1,-1,-1
+Coremark,[.] __env_lock,-1,2,-1,-1,-1
+Coremark,[.] __env_unlock,-1,2,-1,-1,-1
+Coremark,[.] __eqdf2,-1,122,-1,-1,-1
+Coremark,[.] __errno,-1,12,-1,-1,-1
+Coremark,[.] __eucjp_mbtowc,-1,190,-1,-1,-1
+Coremark,[.] __eucjp_wctomb,-1,124,-1,-1,-1
+Coremark,[.] _exit,-1,20,-1,-1,-1
+Coremark,[.] exit,-1,28,-1,-1,-1
+Coremark,[.] __extendsfdf2,-1,66,-1,-1,-1
+Coremark,[.] EXTI0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI15_10_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI9_5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] fclose,-1,16,-1,-1,-1
+Coremark,[.] _fclose_r,-1,128,-1,-1,-1
+Coremark,[.] fflush,-1,72,-1,-1,-1
+Coremark,[.] _fflush_r,-1,44,-1,-1,-1
+Coremark,[.] _findenv_r,-1,128,-1,-1,-1
+Coremark,[.] fiprintf,-1,40,-1,-1,-1
+Coremark,[.] _fiprintf_r,-1,28,-1,-1,-1
+Coremark,[.] __fixdfsi,-1,78,-1,-1,-1
+Coremark,[.] __fixunsdfsi,-1,64,-1,-1,-1
+Coremark,[.] FLASH_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __floatdidf,-1,90,-1,-1,-1
+Coremark,[.] __floatsidf,-1,34,-1,-1,-1
+Coremark,[.] __floatundidf,-1,106,-1,-1,-1
+Coremark,[.] __floatunsidf,-1,30,-1,-1,-1
+Coremark,[.] FMC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __fp_lock,-1,4,-1,-1,-1
+Coremark,[.] __fp_lock_all,-1,20,-1,-1,-1
+Coremark,[.] FPU_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __fp_unlock,-1,4,-1,-1,-1
+Coremark,[.] __fp_unlock_all,-1,20,-1,-1,-1
+Coremark,[.] __fputwc,-1,152,-1,-1,-1
+Coremark,[.] fputwc,-1,72,-1,-1,-1
+Coremark,[.] _fputwc_r,-1,38,-1,-1,-1
+Coremark,[.] _free_r,-1,504,-1,-1,-1
+Coremark,[.] frexp,-1,100,-1,-1,-1
+Coremark,[.] _fstat,-1,30,-1,-1,-1
+Coremark,[.] _fstat_r,-1,44,-1,-1,-1
+Coremark,[.] _fwalk_sglue,-1,68,-1,-1,-1
+Coremark,[.] __gedf2,-1,138,-1,-1,-1
+Coremark,[.] get_arg,-1,2976,-1,-1,-1
+Coremark,[.] _getenv_r,-1,16,-1,-1,-1
+Coremark,[.] __get_locale_env,-1,80,-1,-1,-1
+Coremark,[.] _getpid,-1,4,-1,-1,-1
+Coremark,[.] _getpid_r,-1,4,-1,-1,-1
+Coremark,[.] get_seed_args,-1,152,-1,-1,-1
+Coremark,[.] _gettimeofday,-1,40,-1,-1,-1
+Coremark,[.] global_stdio_init.part.0,-1,208,-1,-1,-1
+Coremark,[.] __gtdf2,-1,138,-1,-1,-1
+Coremark,[.] HAL_GetTick,-1,12,-1,-1,-1
+Coremark,[.] HAL_IncTick,-1,24,-1,-1,-1
+Coremark,[.] hal_init,-1,14,-1,-1,-1
+Coremark,[.] HAL_Init,-1,48,-1,-1,-1
+Coremark,[.] HAL_InitTick,-1,76,-1,-1,-1
+Coremark,[.] HAL_MspInit,-1,2,-1,-1,-1
+Coremark,[.] HAL_NVIC_SetPriority,-1,120,-1,-1,-1
+Coremark,[.] HAL_NVIC_SetPriorityGrouping,-1,36,-1,-1,-1
+Coremark,[.] HAL_PWREx_ControlVoltageScaling,-1,128,-1,-1,-1
+Coremark,[.] HAL_PWREx_GetVoltageRange,-1,16,-1,-1,-1
+Coremark,[.] HAL_RCC_ClockConfig,-1,304,-1,-1,-1
+Coremark,[.] HAL_RCCEx_PeriphCLKConfig,-1,1072,-1,-1,-1
+Coremark,[.] HAL_RCC_GetSysClockFreq,-1,172,-1,-1,-1
+Coremark,[.] HAL_RCC_OscConfig,-1,1520,-1,-1,-1
+Coremark,[.] HAL_SYSTICK_Config,-1,52,-1,-1,-1
+Coremark,[.] HardFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] __hi0bits,-1,68,-1,-1,-1
+Coremark,[.] __i2b,-1,92,-1,-1,-1
+Coremark,[.] I2C1_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C1_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C2_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C2_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C3_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C3_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] initialise_monitor_handles,-1,108,-1,-1,-1
+Coremark,[.] _init_signal,-1,56,-1,-1,-1
+Coremark,[.] _init_signal_r,-1,48,-1,-1,-1
+Coremark,[.] _isatty,-1,112,-1,-1,-1
+Coremark,[.] _isatty_r,-1,36,-1,-1,-1
+Coremark,[.] __jis_mbtowc,-1,300,-1,-1,-1
+Coremark,[.] __jis_wctomb,-1,124,-1,-1,-1
+Coremark,[.] _kill,-1,40,-1,-1,-1
+Coremark,[.] _kill_r,-1,44,-1,-1,-1
+Coremark,[.] LCD_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __ledf2,-1,130,-1,-1,-1
+Coremark,[.] __libc_fini_array,-1,40,-1,-1,-1
+Coremark,[.] __libc_init_array,-1,80,-1,-1,-1
+Coremark,[.] _link,-1,16,-1,-1,-1
+Coremark,[.] __lo0bits,-1,94,-1,-1,-1
+Coremark,[.] __loadlocale,-1,1728,-1,-1,-1
+Coremark,[.] localeconv,-1,8,-1,-1,-1
+Coremark,[.] __localeconv_l,-1,4,-1,-1,-1
+Coremark,[.] _localeconv_r,-1,8,-1,-1,-1
+Coremark,[.] __locale_mb_cur_max,-1,12,-1,-1,-1
+Coremark,[.] LPTIM1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] LPTIM2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] LPUART1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _lseek,-1,40,-1,-1,-1
+Coremark,[.] _lseek_r,-1,44,-1,-1,-1
+Coremark,[.] __lshift,-1,240,-1,-1,-1
+Coremark,[.] __ltdf2,-1,130,-1,-1,-1
+Coremark,[.] main,-1,3652,-1,-1,-1
+Coremark,[.] __malloc_lock,-1,2,-1,-1,-1
+Coremark,[.] _malloc_r,-1,1364,-1,-1,-1
+Coremark,[.] _malloc_trim_r,-1,184,-1,-1,-1
+Coremark,[.] __malloc_unlock,-1,2,-1,-1,-1
+Coremark,[.] _mbtowc_r,-1,20,-1,-1,-1
+Coremark,[.] __mcmp,-1,66,-1,-1,-1
+Coremark,[.] __mdiff,-1,376,-1,-1,-1
+Coremark,[.] memcpy,-1,308,-1,-1,-1
+Coremark,[.] MemManage_Handler,-1,2,-1,-1,-1
+Coremark,[.] memmove,-1,254,-1,-1,-1
+Coremark,[.] memset,-1,162,-1,-1,-1
+Coremark,[.] _mprec_log10,-1,64,-1,-1,-1
+Coremark,[.] __muldf3,-1,596,-1,-1,-1
+Coremark,[.] __multadd,-1,160,-1,-1,-1
+Coremark,[.] __multiply,-1,364,-1,-1,-1
+Coremark,[.] __nedf2,-1,122,-1,-1,-1
+Coremark,[.] NMI_Handler,-1,2,-1,-1,-1
+Coremark,[.] _open,-1,56,-1,-1,-1
+Coremark,[.] OTG_FS_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] PendSV_Handler,-1,2,-1,-1,-1
+Coremark,[.] __pow5mult,-1,180,-1,-1,-1
+Coremark,[.] printf,-1,40,-1,-1,-1
+Coremark,[.] _printf_r,-1,30,-1,-1,-1
+Coremark,[.] putc,-1,88,-1,-1,-1
+Coremark,[.] putchar,-1,16,-1,-1,-1
+Coremark,[.] _putchar_r,-1,6,-1,-1,-1
+Coremark,[.] _putc_r,-1,76,-1,-1,-1
+Coremark,[.] puts,-1,16,-1,-1,-1
+Coremark,[.] _puts_r,-1,104,-1,-1,-1
+Coremark,[.] PVD_PVM_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] QUADSPI_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] quorem,-1,300,-1,-1,-1
+Coremark,[.] _raise,-1,2,-1,-1,-1
+Coremark,[.] raise,-1,88,-1,-1,-1
+Coremark,[.] _raise_r,-1,82,-1,-1,-1
+Coremark,[.] __ratio,-1,96,-1,-1,-1
+Coremark,[.] RCCEx_PLLSAI1_Config,-1,284,-1,-1,-1
+Coremark,[.] RCCEx_PLLSAI2_Config,-1,256,-1,-1,-1
+Coremark,[.] RCC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RCC_SetFlashLatencyFromMSIRange,-1,140,-1,-1,-1
+Coremark,[.] _read,-1,128,-1,-1,-1
+Coremark,[.] _read_r,-1,44,-1,-1,-1
+Coremark,[.] _realloc_r,-1,836,-1,-1,-1
+Coremark,[.] __register_exitproc,-1,100,-1,-1,-1
+Coremark,[.] remap_handle,-1,64,-1,-1,-1
+Coremark,[.] _rename,-1,82,-1,-1,-1
+Coremark,[.] Reset_Handler,-1,54,-1,-1,-1
+Coremark,[.] RNG_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RTC_Alarm_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RTC_WKUP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __s2b,-1,180,-1,-1,-1
+Coremark,[.] SAI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SAI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __sbprintf,-1,212,-1,-1,-1
+Coremark,[.] _sbrk,-1,80,-1,-1,-1
+Coremark,[.] _sbrk_r,-1,36,-1,-1,-1
+Coremark,[.] __sclose,-1,8,-1,-1,-1
+Coremark,[.] SDMMC1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __seofread,-1,4,-1,-1,-1
+Coremark,[.] __set_ctype,-1,12,-1,-1,-1
+Coremark,[.] setlocale,-1,24,-1,-1,-1
+Coremark,[.] _setlocale_r,-1,552,-1,-1,-1
+Coremark,[.] __sflush_r,-1,312,-1,-1,-1
+Coremark,[.] __sfp,-1,164,-1,-1,-1
+Coremark,[.] __sfp_lock_acquire,-1,2,-1,-1,-1
+Coremark,[.] __sfp_lock_release,-1,2,-1,-1,-1
+Coremark,[.] __sfvwrite_r,-1,768,-1,-1,-1
+Coremark,[.] signal,-1,80,-1,-1,-1
+Coremark,[.] _signal_r,-1,78,-1,-1,-1
+Coremark,[.] __sigtramp,-1,104,-1,-1,-1
+Coremark,[.] __sigtramp_r,-1,100,-1,-1,-1
+Coremark,[.] __sinit,-1,32,-1,-1,-1
+Coremark,[.] __sjis_mbtowc,-1,142,-1,-1,-1
+Coremark,[.] __sjis_wctomb,-1,86,-1,-1,-1
+Coremark,[.] __smakebuf_r,-1,220,-1,-1,-1
+Coremark,[.] SPI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SPI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SPI3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __sprint_r,-1,22,-1,-1,-1
+Coremark,[.] __sprint_r.part.0,-1,120,-1,-1,-1
+Coremark,[.] __sread,-1,34,-1,-1,-1
+Coremark,[.] __sseek,-1,34,-1,-1,-1
+Coremark,[.] _stat,-1,78,-1,-1,-1
+Coremark,[.] stdio_exit_handler,-1,24,-1,-1,-1
+Coremark,[.] strcasecmp,-1,76,-1,-1,-1
+Coremark,[.] strcat,-1,62,-1,-1,-1
+Coremark,[.] strchr,-1,206,-1,-1,-1
+Coremark,[.] strcmp,-1,734,-1,-1,-1
+Coremark,[.] strcpy,-1,186,-1,-1,-1
+Coremark,[.] strlcpy,-1,76,-1,-1,-1
+Coremark,[.] strlen,-1,216,-1,-1,-1
+Coremark,[.] strncasecmp,-1,84,-1,-1,-1
+Coremark,[.] strncmp,-1,138,-1,-1,-1
+Coremark,[.] strncpy,-1,104,-1,-1,-1
+Coremark,[.] strtol,-1,24,-1,-1,-1
+Coremark,[.] strtol_l,-1,24,-1,-1,-1
+Coremark,[.] _strtol_l.isra.0,-1,312,-1,-1,-1
+Coremark,[.] _strtol_r,-1,2,-1,-1,-1
+Coremark,[.] __subdf3,-1,634,-1,-1,-1
+Coremark,[.] SVC_Handler,-1,2,-1,-1,-1
+Coremark,[.] __swbuf,-1,24,-1,-1,-1
+Coremark,[.] __swbuf_r,-1,172,-1,-1,-1
+Coremark,[.] __swhatbuf_r,-1,96,-1,-1,-1
+Coremark,[.] _swiclose,-1,68,-1,-1,-1
+Coremark,[.] _swilseek,-1,144,-1,-1,-1
+Coremark,[.] _swiopen,-1,156,-1,-1,-1
+Coremark,[.] _swiread,-1,88,-1,-1,-1
+Coremark,[.] _swiwrite,-1,88,-1,-1,-1
+Coremark,[.] SWPMI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __swrite,-1,62,-1,-1,-1
+Coremark,[.] __swsetup_r,-1,196,-1,-1,-1
+Coremark,[.] sysconf,-1,26,-1,-1,-1
+Coremark,[.] _system,-1,86,-1,-1,-1
+Coremark,[.] SystemClock_Config,-1,144,-1,-1,-1
+Coremark,[.] SystemInit,-1,80,-1,-1,-1
+Coremark,[.] SysTick_Handler,-1,4,-1,-1,-1
+Coremark,[.] TAMP_STAMP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_BRK_TIM15_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_CC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_TRG_COM_TIM17_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_UP_TIM16_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM6_DAC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_BRK_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_CC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_TRG_COM_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_UP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _times,-1,30,-1,-1,-1
+Coremark,[.] TSC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] UART4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] UART5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __ulp,-1,100,-1,-1,-1
+Coremark,[.] _unlink,-1,68,-1,-1,-1
+Coremark,[.] __unorddf2,-1,44,-1,-1,-1
+Coremark,[.] UsageFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] USART1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] USART2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] USART3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __utf8_mbtowc,-1,466,-1,-1,-1
+Coremark,[.] __utf8_wctomb,-1,156,-1,-1,-1
+Coremark,[.] vfiprintf,-1,28,-1,-1,-1
+Coremark,[.] _vfiprintf_r,-1,6498,-1,-1,-1
+Coremark,[.] vfprintf,-1,28,-1,-1,-1
+Coremark,[.] _vfprintf_r,-1,10296,-1,-1,-1
+Coremark,[.] wcrtomb,-1,88,-1,-1,-1
+Coremark,[.] _wcrtomb_r,-1,76,-1,-1,-1
+Coremark,[.] wcsnrtombs,-1,44,-1,-1,-1
+Coremark,[.] _wcsnrtombs_l,-1,250,-1,-1,-1
+Coremark,[.] _wcsnrtombs_r,-1,36,-1,-1,-1
+Coremark,[.] wcsrtombs,-1,36,-1,-1,-1
+Coremark,[.] _wcsrtombs_r,-1,22,-1,-1,-1
+Coremark,[.] _wctomb_r,-1,20,-1,-1,-1
+Coremark,[.] _write,-1,132,-1,-1,-1
+Coremark,[.] _write_r,-1,44,-1,-1,-1
+Coremark,[.] WWDG_IRQHandler,-1,2,-1,-1,-1
+Mean,mean,14899,-1,-1,-1,-1
+Mean,geomean-successful-tests,14899,-1,-1,-1,-1
+Mean,geomean-all-tests,14899,-1,-1,-1,-1
+Mean,average-successful-tests,14899,-1,-1,-1,-1
+Mean,average-all-tests,14899,-1,-1,-1,-1
+coremark,coremark,14899,-1,-1,-1,-1
diff --git a/09-check_regression/csv-results-vs-prev-0/size.csv b/09-check_regression/csv-results-vs-prev-0/size.csv
new file mode 100644
index 0000000..a57f24a
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-0/size.csv
@@ -0,0 +1,341 @@
+benchmark,symbol,size
+Coremark,coremark.exe,66884
+Coremark,[.] abort,14
+Coremark,[.] ADC1_2_IRQHandler,2
+Coremark,[.] ADC3_IRQHandler,2
+Coremark,[.] __adddf3,630
+Coremark,[.] __aeabi_cdcmpeq,16
+Coremark,[.] __aeabi_cdcmple,16
+Coremark,[.] __aeabi_cdrcmple,32
+Coremark,[.] __aeabi_d2iz,78
+Coremark,[.] __aeabi_d2uiz,64
+Coremark,[.] __aeabi_dadd,630
+Coremark,[.] __aeabi_dcmpeq,18
+Coremark,[.] __aeabi_dcmpge,18
+Coremark,[.] __aeabi_dcmpgt,18
+Coremark,[.] __aeabi_dcmple,18
+Coremark,[.] __aeabi_dcmplt,18
+Coremark,[.] __aeabi_dcmpun,44
+Coremark,[.] __aeabi_ddiv,464
+Coremark,[.] __aeabi_dmul,596
+Coremark,[.] __aeabi_dsub,634
+Coremark,[.] __aeabi_f2d,66
+Coremark,[.] __aeabi_i2d,34
+Coremark,[.] __aeabi_l2d,90
+Coremark,[.] __aeabi_ui2d,30
+Coremark,[.] __aeabi_ul2d,106
+Coremark,[.] __any_on,92
+Coremark,[.] __ascii_mbtowc,42
+Coremark,[.] __ascii_wctomb,26
+Coremark,[.] __assert,10
+Coremark,[.] __assert_func,64
+Coremark,[.] atexit,12
+Coremark,[.] __b2d,188
+Coremark,[.] _Balloc,76
+Coremark,[.] _Bfree,18
+Coremark,[.] BusFault_Handler,2
+Coremark,[.] calc_func,4088
+Coremark,[.] __call_exitprocs,176
+Coremark,[.] _calloc_r,108
+Coremark,[.] CAN1_RX0_IRQHandler,2
+Coremark,[.] CAN1_RX1_IRQHandler,2
+Coremark,[.] CAN1_SCE_IRQHandler,2
+Coremark,[.] CAN1_TX_IRQHandler,2
+Coremark,[.] cleanup_stdio,64
+Coremark,[.] _close,40
+Coremark,[.] _close_r,36
+Coremark,[.] __cmpdf2,122
+Coremark,[.] COMP_IRQHandler,2
+Coremark,[.] __copybits,90
+Coremark,[.] core_bench_list,1504
+Coremark,[.] core_state_transition,436
+Coremark,[.] crcu16,280
+Coremark,[.] currentlocale,92
+Coremark,[.] __d2b,192
+Coremark,[.] DebugMon_Handler,2
+Coremark,[.] Default_Handler,2
+Coremark,[.] DFSDM1_FLT0_IRQHandler,2
+Coremark,[.] DFSDM1_FLT1_IRQHandler,2
+Coremark,[.] DFSDM1_FLT2_IRQHandler,2
+Coremark,[.] DFSDM1_FLT3_IRQHandler,2
+Coremark,[.] __divdf3,464
+Coremark,[.] DMA1_Channel1_IRQHandler,2
+Coremark,[.] DMA1_Channel2_IRQHandler,2
+Coremark,[.] DMA1_Channel3_IRQHandler,2
+Coremark,[.] DMA1_Channel4_IRQHandler,2
+Coremark,[.] DMA1_Channel5_IRQHandler,2
+Coremark,[.] DMA1_Channel6_IRQHandler,2
+Coremark,[.] DMA1_Channel7_IRQHandler,2
+Coremark,[.] DMA2_Channel1_IRQHandler,2
+Coremark,[.] DMA2_Channel2_IRQHandler,2
+Coremark,[.] DMA2_Channel3_IRQHandler,2
+Coremark,[.] DMA2_Channel4_IRQHandler,2
+Coremark,[.] DMA2_Channel5_IRQHandler,2
+Coremark,[.] DMA2_Channel6_IRQHandler,2
+Coremark,[.] DMA2_Channel7_IRQHandler,2
+Coremark,[.] _dtoa_r,3716
+Coremark,[.] __env_lock,2
+Coremark,[.] __env_unlock,2
+Coremark,[.] __eqdf2,122
+Coremark,[.] __errno,12
+Coremark,[.] __eucjp_mbtowc,190
+Coremark,[.] __eucjp_wctomb,124
+Coremark,[.] _exit,20
+Coremark,[.] exit,28
+Coremark,[.] __extendsfdf2,66
+Coremark,[.] EXTI0_IRQHandler,2
+Coremark,[.] EXTI15_10_IRQHandler,2
+Coremark,[.] EXTI1_IRQHandler,2
+Coremark,[.] EXTI2_IRQHandler,2
+Coremark,[.] EXTI3_IRQHandler,2
+Coremark,[.] EXTI4_IRQHandler,2
+Coremark,[.] EXTI9_5_IRQHandler,2
+Coremark,[.] fclose,16
+Coremark,[.] _fclose_r,128
+Coremark,[.] fflush,72
+Coremark,[.] _fflush_r,44
+Coremark,[.] _findenv_r,128
+Coremark,[.] fiprintf,40
+Coremark,[.] _fiprintf_r,28
+Coremark,[.] __fixdfsi,78
+Coremark,[.] __fixunsdfsi,64
+Coremark,[.] FLASH_IRQHandler,2
+Coremark,[.] __floatdidf,90
+Coremark,[.] __floatsidf,34
+Coremark,[.] __floatundidf,106
+Coremark,[.] __floatunsidf,30
+Coremark,[.] FMC_IRQHandler,2
+Coremark,[.] __fp_lock,4
+Coremark,[.] __fp_lock_all,20
+Coremark,[.] FPU_IRQHandler,2
+Coremark,[.] __fp_unlock,4
+Coremark,[.] __fp_unlock_all,20
+Coremark,[.] __fputwc,152
+Coremark,[.] fputwc,72
+Coremark,[.] _fputwc_r,38
+Coremark,[.] _free_r,504
+Coremark,[.] frexp,100
+Coremark,[.] _fstat,30
+Coremark,[.] _fstat_r,44
+Coremark,[.] _fwalk_sglue,68
+Coremark,[.] __gedf2,138
+Coremark,[.] get_arg,2976
+Coremark,[.] _getenv_r,16
+Coremark,[.] __get_locale_env,80
+Coremark,[.] _getpid,4
+Coremark,[.] _getpid_r,4
+Coremark,[.] get_seed_args,152
+Coremark,[.] _gettimeofday,40
+Coremark,[.] global_stdio_init.part.0,208
+Coremark,[.] __gtdf2,138
+Coremark,[.] HAL_GetTick,12
+Coremark,[.] HAL_IncTick,24
+Coremark,[.] hal_init,14
+Coremark,[.] HAL_Init,48
+Coremark,[.] HAL_InitTick,76
+Coremark,[.] HAL_MspInit,2
+Coremark,[.] HAL_NVIC_SetPriority,120
+Coremark,[.] HAL_NVIC_SetPriorityGrouping,36
+Coremark,[.] HAL_PWREx_ControlVoltageScaling,128
+Coremark,[.] HAL_PWREx_GetVoltageRange,16
+Coremark,[.] HAL_RCC_ClockConfig,304
+Coremark,[.] HAL_RCCEx_PeriphCLKConfig,1072
+Coremark,[.] HAL_RCC_GetSysClockFreq,172
+Coremark,[.] HAL_RCC_OscConfig,1520
+Coremark,[.] HAL_SYSTICK_Config,52
+Coremark,[.] HardFault_Handler,2
+Coremark,[.] __hi0bits,68
+Coremark,[.] __i2b,92
+Coremark,[.] I2C1_ER_IRQHandler,2
+Coremark,[.] I2C1_EV_IRQHandler,2
+Coremark,[.] I2C2_ER_IRQHandler,2
+Coremark,[.] I2C2_EV_IRQHandler,2
+Coremark,[.] I2C3_ER_IRQHandler,2
+Coremark,[.] I2C3_EV_IRQHandler,2
+Coremark,[.] initialise_monitor_handles,108
+Coremark,[.] _init_signal,56
+Coremark,[.] _init_signal_r,48
+Coremark,[.] _isatty,112
+Coremark,[.] _isatty_r,36
+Coremark,[.] __jis_mbtowc,300
+Coremark,[.] __jis_wctomb,124
+Coremark,[.] _kill,40
+Coremark,[.] _kill_r,44
+Coremark,[.] LCD_IRQHandler,2
+Coremark,[.] __ledf2,130
+Coremark,[.] __libc_fini_array,40
+Coremark,[.] __libc_init_array,80
+Coremark,[.] _link,16
+Coremark,[.] __lo0bits,94
+Coremark,[.] __loadlocale,1728
+Coremark,[.] localeconv,8
+Coremark,[.] __localeconv_l,4
+Coremark,[.] _localeconv_r,8
+Coremark,[.] __locale_mb_cur_max,12
+Coremark,[.] LPTIM1_IRQHandler,2
+Coremark,[.] LPTIM2_IRQHandler,2
+Coremark,[.] LPUART1_IRQHandler,2
+Coremark,[.] _lseek,40
+Coremark,[.] _lseek_r,44
+Coremark,[.] __lshift,240
+Coremark,[.] __ltdf2,130
+Coremark,[.] main,3652
+Coremark,[.] __malloc_lock,2
+Coremark,[.] _malloc_r,1364
+Coremark,[.] _malloc_trim_r,184
+Coremark,[.] __malloc_unlock,2
+Coremark,[.] _mbtowc_r,20
+Coremark,[.] __mcmp,66
+Coremark,[.] __mdiff,376
+Coremark,[.] memcpy,308
+Coremark,[.] MemManage_Handler,2
+Coremark,[.] memmove,254
+Coremark,[.] memset,162
+Coremark,[.] _mprec_log10,64
+Coremark,[.] __muldf3,596
+Coremark,[.] __multadd,160
+Coremark,[.] __multiply,364
+Coremark,[.] __nedf2,122
+Coremark,[.] NMI_Handler,2
+Coremark,[.] _open,56
+Coremark,[.] OTG_FS_IRQHandler,2
+Coremark,[.] PendSV_Handler,2
+Coremark,[.] __pow5mult,180
+Coremark,[.] printf,40
+Coremark,[.] _printf_r,30
+Coremark,[.] putc,88
+Coremark,[.] putchar,16
+Coremark,[.] _putchar_r,6
+Coremark,[.] _putc_r,76
+Coremark,[.] puts,16
+Coremark,[.] _puts_r,104
+Coremark,[.] PVD_PVM_IRQHandler,2
+Coremark,[.] QUADSPI_IRQHandler,2
+Coremark,[.] quorem,300
+Coremark,[.] _raise,2
+Coremark,[.] raise,88
+Coremark,[.] _raise_r,82
+Coremark,[.] __ratio,96
+Coremark,[.] RCCEx_PLLSAI1_Config,284
+Coremark,[.] RCCEx_PLLSAI2_Config,256
+Coremark,[.] RCC_IRQHandler,2
+Coremark,[.] RCC_SetFlashLatencyFromMSIRange,140
+Coremark,[.] _read,128
+Coremark,[.] _read_r,44
+Coremark,[.] _realloc_r,836
+Coremark,[.] __register_exitproc,100
+Coremark,[.] remap_handle,64
+Coremark,[.] _rename,82
+Coremark,[.] Reset_Handler,54
+Coremark,[.] RNG_IRQHandler,2
+Coremark,[.] RTC_Alarm_IRQHandler,2
+Coremark,[.] RTC_WKUP_IRQHandler,2
+Coremark,[.] __s2b,180
+Coremark,[.] SAI1_IRQHandler,2
+Coremark,[.] SAI2_IRQHandler,2
+Coremark,[.] __sbprintf,212
+Coremark,[.] _sbrk,80
+Coremark,[.] _sbrk_r,36
+Coremark,[.] __sclose,8
+Coremark,[.] SDMMC1_IRQHandler,2
+Coremark,[.] __seofread,4
+Coremark,[.] __set_ctype,12
+Coremark,[.] setlocale,24
+Coremark,[.] _setlocale_r,552
+Coremark,[.] __sflush_r,312
+Coremark,[.] __sfp,164
+Coremark,[.] __sfp_lock_acquire,2
+Coremark,[.] __sfp_lock_release,2
+Coremark,[.] __sfvwrite_r,768
+Coremark,[.] signal,80
+Coremark,[.] _signal_r,78
+Coremark,[.] __sigtramp,104
+Coremark,[.] __sigtramp_r,100
+Coremark,[.] __sinit,32
+Coremark,[.] __sjis_mbtowc,142
+Coremark,[.] __sjis_wctomb,86
+Coremark,[.] __smakebuf_r,220
+Coremark,[.] SPI1_IRQHandler,2
+Coremark,[.] SPI2_IRQHandler,2
+Coremark,[.] SPI3_IRQHandler,2
+Coremark,[.] __sprint_r,22
+Coremark,[.] __sprint_r.part.0,120
+Coremark,[.] __sread,34
+Coremark,[.] __sseek,34
+Coremark,[.] _stat,78
+Coremark,[.] stdio_exit_handler,24
+Coremark,[.] strcasecmp,76
+Coremark,[.] strcat,62
+Coremark,[.] strchr,206
+Coremark,[.] strcmp,734
+Coremark,[.] strcpy,186
+Coremark,[.] strlcpy,76
+Coremark,[.] strlen,216
+Coremark,[.] strncasecmp,84
+Coremark,[.] strncmp,138
+Coremark,[.] strncpy,104
+Coremark,[.] strtol,24
+Coremark,[.] strtol_l,24
+Coremark,[.] _strtol_l.isra.0,312
+Coremark,[.] _strtol_r,2
+Coremark,[.] __subdf3,634
+Coremark,[.] SVC_Handler,2
+Coremark,[.] __swbuf,24
+Coremark,[.] __swbuf_r,172
+Coremark,[.] __swhatbuf_r,96
+Coremark,[.] _swiclose,68
+Coremark,[.] _swilseek,144
+Coremark,[.] _swiopen,156
+Coremark,[.] _swiread,88
+Coremark,[.] _swiwrite,88
+Coremark,[.] SWPMI1_IRQHandler,2
+Coremark,[.] __swrite,62
+Coremark,[.] __swsetup_r,196
+Coremark,[.] sysconf,26
+Coremark,[.] _system,86
+Coremark,[.] SystemClock_Config,144
+Coremark,[.] SystemInit,80
+Coremark,[.] SysTick_Handler,4
+Coremark,[.] TAMP_STAMP_IRQHandler,2
+Coremark,[.] TIM1_BRK_TIM15_IRQHandler,2
+Coremark,[.] TIM1_CC_IRQHandler,2
+Coremark,[.] TIM1_TRG_COM_TIM17_IRQHandler,2
+Coremark,[.] TIM1_UP_TIM16_IRQHandler,2
+Coremark,[.] TIM2_IRQHandler,2
+Coremark,[.] TIM3_IRQHandler,2
+Coremark,[.] TIM4_IRQHandler,2
+Coremark,[.] TIM5_IRQHandler,2
+Coremark,[.] TIM6_DAC_IRQHandler,2
+Coremark,[.] TIM7_IRQHandler,2
+Coremark,[.] TIM8_BRK_IRQHandler,2
+Coremark,[.] TIM8_CC_IRQHandler,2
+Coremark,[.] TIM8_TRG_COM_IRQHandler,2
+Coremark,[.] TIM8_UP_IRQHandler,2
+Coremark,[.] _times,30
+Coremark,[.] TSC_IRQHandler,2
+Coremark,[.] UART4_IRQHandler,2
+Coremark,[.] UART5_IRQHandler,2
+Coremark,[.] __ulp,100
+Coremark,[.] _unlink,68
+Coremark,[.] __unorddf2,44
+Coremark,[.] UsageFault_Handler,2
+Coremark,[.] USART1_IRQHandler,2
+Coremark,[.] USART2_IRQHandler,2
+Coremark,[.] USART3_IRQHandler,2
+Coremark,[.] __utf8_mbtowc,466
+Coremark,[.] __utf8_wctomb,156
+Coremark,[.] vfiprintf,28
+Coremark,[.] _vfiprintf_r,6498
+Coremark,[.] vfprintf,28
+Coremark,[.] _vfprintf_r,10296
+Coremark,[.] wcrtomb,88
+Coremark,[.] _wcrtomb_r,76
+Coremark,[.] wcsnrtombs,44
+Coremark,[.] _wcsnrtombs_l,250
+Coremark,[.] _wcsnrtombs_r,36
+Coremark,[.] wcsrtombs,36
+Coremark,[.] _wcsrtombs_r,22
+Coremark,[.] _wctomb_r,20
+Coremark,[.] _write,132
+Coremark,[.] _write_r,44
+Coremark,[.] WWDG_IRQHandler,2
diff --git a/09-check_regression/csv-results-vs-prev-0/sve.csv b/09-check_regression/csv-results-vs-prev-0/sve.csv
new file mode 100644
index 0000000..3b65cd8
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-0/sve.csv
@@ -0,0 +1 @@
+benchmark,symbol,num_sve_loops
diff --git a/09-check_regression/csv-results-vs-prev-0/vect.csv b/09-check_regression/csv-results-vs-prev-0/vect.csv
new file mode 100644
index 0000000..2b88a4e
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-0/vect.csv
@@ -0,0 +1 @@
+benchmark,symbol,num_vect_loops
diff --git a/09-check_regression/csv-results-vs-prev-1/md5sum.csv b/09-check_regression/csv-results-vs-prev-1/md5sum.csv
new file mode 100644
index 0000000..820a9e5
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-1/md5sum.csv
@@ -0,0 +1 @@
+benchmark,symbol,symbol_md5sum
diff --git a/09-check_regression/csv-results-vs-prev-1/perf.csv b/09-check_regression/csv-results-vs-prev-1/perf.csv
new file mode 100644
index 0000000..a9e9a14
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-1/perf.csv
@@ -0,0 +1,2 @@
+benchmark,symbol,sample
+coremark,coremark,14899
diff --git a/09-check_regression/csv-results-vs-prev-1/results.csv b/09-check_regression/csv-results-vs-prev-1/results.csv
new file mode 100644
index 0000000..9b7c658
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-1/results.csv
@@ -0,0 +1,347 @@
+benchmark,symbol,sample,size,num_vect_loops,num_sve_loops,symbol_md5sum
+Coremark,coremark.exe,-1,66884,-1,-1,-1
+Coremark,[.] abort,-1,14,-1,-1,-1
+Coremark,[.] ADC1_2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] ADC3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __adddf3,-1,630,-1,-1,-1
+Coremark,[.] __aeabi_cdcmpeq,-1,16,-1,-1,-1
+Coremark,[.] __aeabi_cdcmple,-1,16,-1,-1,-1
+Coremark,[.] __aeabi_cdrcmple,-1,32,-1,-1,-1
+Coremark,[.] __aeabi_d2iz,-1,78,-1,-1,-1
+Coremark,[.] __aeabi_d2uiz,-1,64,-1,-1,-1
+Coremark,[.] __aeabi_dadd,-1,630,-1,-1,-1
+Coremark,[.] __aeabi_dcmpeq,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpge,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpgt,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmple,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmplt,-1,18,-1,-1,-1
+Coremark,[.] __aeabi_dcmpun,-1,44,-1,-1,-1
+Coremark,[.] __aeabi_ddiv,-1,464,-1,-1,-1
+Coremark,[.] __aeabi_dmul,-1,596,-1,-1,-1
+Coremark,[.] __aeabi_dsub,-1,634,-1,-1,-1
+Coremark,[.] __aeabi_f2d,-1,66,-1,-1,-1
+Coremark,[.] __aeabi_i2d,-1,34,-1,-1,-1
+Coremark,[.] __aeabi_l2d,-1,90,-1,-1,-1
+Coremark,[.] __aeabi_ui2d,-1,30,-1,-1,-1
+Coremark,[.] __aeabi_ul2d,-1,106,-1,-1,-1
+Coremark,[.] __any_on,-1,92,-1,-1,-1
+Coremark,[.] __ascii_mbtowc,-1,42,-1,-1,-1
+Coremark,[.] __ascii_wctomb,-1,26,-1,-1,-1
+Coremark,[.] __assert,-1,10,-1,-1,-1
+Coremark,[.] __assert_func,-1,64,-1,-1,-1
+Coremark,[.] atexit,-1,12,-1,-1,-1
+Coremark,[.] __b2d,-1,188,-1,-1,-1
+Coremark,[.] _Balloc,-1,76,-1,-1,-1
+Coremark,[.] _Bfree,-1,18,-1,-1,-1
+Coremark,[.] BusFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] calc_func,-1,4088,-1,-1,-1
+Coremark,[.] __call_exitprocs,-1,176,-1,-1,-1
+Coremark,[.] _calloc_r,-1,108,-1,-1,-1
+Coremark,[.] CAN1_RX0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_RX1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_SCE_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] CAN1_TX_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] cleanup_stdio,-1,64,-1,-1,-1
+Coremark,[.] _close,-1,40,-1,-1,-1
+Coremark,[.] _close_r,-1,36,-1,-1,-1
+Coremark,[.] __cmpdf2,-1,122,-1,-1,-1
+Coremark,[.] COMP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __copybits,-1,90,-1,-1,-1
+Coremark,[.] core_bench_list,-1,1504,-1,-1,-1
+Coremark,[.] core_state_transition,-1,436,-1,-1,-1
+Coremark,[.] crcu16,-1,280,-1,-1,-1
+Coremark,[.] currentlocale,-1,92,-1,-1,-1
+Coremark,[.] __d2b,-1,192,-1,-1,-1
+Coremark,[.] DebugMon_Handler,-1,2,-1,-1,-1
+Coremark,[.] Default_Handler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DFSDM1_FLT3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __divdf3,-1,464,-1,-1,-1
+Coremark,[.] DMA1_Channel1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel6_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA1_Channel7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel6_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] DMA2_Channel7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _dtoa_r,-1,3716,-1,-1,-1
+Coremark,[.] __env_lock,-1,2,-1,-1,-1
+Coremark,[.] __env_unlock,-1,2,-1,-1,-1
+Coremark,[.] __eqdf2,-1,122,-1,-1,-1
+Coremark,[.] __errno,-1,12,-1,-1,-1
+Coremark,[.] __eucjp_mbtowc,-1,190,-1,-1,-1
+Coremark,[.] __eucjp_wctomb,-1,124,-1,-1,-1
+Coremark,[.] _exit,-1,20,-1,-1,-1
+Coremark,[.] exit,-1,28,-1,-1,-1
+Coremark,[.] __extendsfdf2,-1,66,-1,-1,-1
+Coremark,[.] EXTI0_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI15_10_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] EXTI9_5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] fclose,-1,16,-1,-1,-1
+Coremark,[.] _fclose_r,-1,128,-1,-1,-1
+Coremark,[.] fflush,-1,72,-1,-1,-1
+Coremark,[.] _fflush_r,-1,44,-1,-1,-1
+Coremark,[.] _findenv_r,-1,128,-1,-1,-1
+Coremark,[.] fiprintf,-1,40,-1,-1,-1
+Coremark,[.] _fiprintf_r,-1,28,-1,-1,-1
+Coremark,[.] __fixdfsi,-1,78,-1,-1,-1
+Coremark,[.] __fixunsdfsi,-1,64,-1,-1,-1
+Coremark,[.] FLASH_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __floatdidf,-1,90,-1,-1,-1
+Coremark,[.] __floatsidf,-1,34,-1,-1,-1
+Coremark,[.] __floatundidf,-1,106,-1,-1,-1
+Coremark,[.] __floatunsidf,-1,30,-1,-1,-1
+Coremark,[.] FMC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __fp_lock,-1,4,-1,-1,-1
+Coremark,[.] __fp_lock_all,-1,20,-1,-1,-1
+Coremark,[.] FPU_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __fp_unlock,-1,4,-1,-1,-1
+Coremark,[.] __fp_unlock_all,-1,20,-1,-1,-1
+Coremark,[.] __fputwc,-1,152,-1,-1,-1
+Coremark,[.] fputwc,-1,72,-1,-1,-1
+Coremark,[.] _fputwc_r,-1,38,-1,-1,-1
+Coremark,[.] _free_r,-1,504,-1,-1,-1
+Coremark,[.] frexp,-1,100,-1,-1,-1
+Coremark,[.] _fstat,-1,30,-1,-1,-1
+Coremark,[.] _fstat_r,-1,44,-1,-1,-1
+Coremark,[.] _fwalk_sglue,-1,68,-1,-1,-1
+Coremark,[.] __gedf2,-1,138,-1,-1,-1
+Coremark,[.] get_arg,-1,2976,-1,-1,-1
+Coremark,[.] _getenv_r,-1,16,-1,-1,-1
+Coremark,[.] __get_locale_env,-1,80,-1,-1,-1
+Coremark,[.] _getpid,-1,4,-1,-1,-1
+Coremark,[.] _getpid_r,-1,4,-1,-1,-1
+Coremark,[.] get_seed_args,-1,152,-1,-1,-1
+Coremark,[.] _gettimeofday,-1,40,-1,-1,-1
+Coremark,[.] global_stdio_init.part.0,-1,208,-1,-1,-1
+Coremark,[.] __gtdf2,-1,138,-1,-1,-1
+Coremark,[.] HAL_GetTick,-1,12,-1,-1,-1
+Coremark,[.] HAL_IncTick,-1,24,-1,-1,-1
+Coremark,[.] hal_init,-1,14,-1,-1,-1
+Coremark,[.] HAL_Init,-1,48,-1,-1,-1
+Coremark,[.] HAL_InitTick,-1,76,-1,-1,-1
+Coremark,[.] HAL_MspInit,-1,2,-1,-1,-1
+Coremark,[.] HAL_NVIC_SetPriority,-1,120,-1,-1,-1
+Coremark,[.] HAL_NVIC_SetPriorityGrouping,-1,36,-1,-1,-1
+Coremark,[.] HAL_PWREx_ControlVoltageScaling,-1,128,-1,-1,-1
+Coremark,[.] HAL_PWREx_GetVoltageRange,-1,16,-1,-1,-1
+Coremark,[.] HAL_RCC_ClockConfig,-1,304,-1,-1,-1
+Coremark,[.] HAL_RCCEx_PeriphCLKConfig,-1,1072,-1,-1,-1
+Coremark,[.] HAL_RCC_GetSysClockFreq,-1,172,-1,-1,-1
+Coremark,[.] HAL_RCC_OscConfig,-1,1520,-1,-1,-1
+Coremark,[.] HAL_SYSTICK_Config,-1,52,-1,-1,-1
+Coremark,[.] HardFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] __hi0bits,-1,68,-1,-1,-1
+Coremark,[.] __i2b,-1,92,-1,-1,-1
+Coremark,[.] I2C1_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C1_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C2_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C2_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C3_ER_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] I2C3_EV_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] initialise_monitor_handles,-1,108,-1,-1,-1
+Coremark,[.] _init_signal,-1,56,-1,-1,-1
+Coremark,[.] _init_signal_r,-1,48,-1,-1,-1
+Coremark,[.] _isatty,-1,112,-1,-1,-1
+Coremark,[.] _isatty_r,-1,36,-1,-1,-1
+Coremark,[.] __jis_mbtowc,-1,300,-1,-1,-1
+Coremark,[.] __jis_wctomb,-1,124,-1,-1,-1
+Coremark,[.] _kill,-1,40,-1,-1,-1
+Coremark,[.] _kill_r,-1,44,-1,-1,-1
+Coremark,[.] LCD_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __ledf2,-1,130,-1,-1,-1
+Coremark,[.] __libc_fini_array,-1,40,-1,-1,-1
+Coremark,[.] __libc_init_array,-1,80,-1,-1,-1
+Coremark,[.] _link,-1,16,-1,-1,-1
+Coremark,[.] __lo0bits,-1,94,-1,-1,-1
+Coremark,[.] __loadlocale,-1,1728,-1,-1,-1
+Coremark,[.] localeconv,-1,8,-1,-1,-1
+Coremark,[.] __localeconv_l,-1,4,-1,-1,-1
+Coremark,[.] _localeconv_r,-1,8,-1,-1,-1
+Coremark,[.] __locale_mb_cur_max,-1,12,-1,-1,-1
+Coremark,[.] LPTIM1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] LPTIM2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] LPUART1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _lseek,-1,40,-1,-1,-1
+Coremark,[.] _lseek_r,-1,44,-1,-1,-1
+Coremark,[.] __lshift,-1,240,-1,-1,-1
+Coremark,[.] __ltdf2,-1,130,-1,-1,-1
+Coremark,[.] main,-1,3652,-1,-1,-1
+Coremark,[.] __malloc_lock,-1,2,-1,-1,-1
+Coremark,[.] _malloc_r,-1,1364,-1,-1,-1
+Coremark,[.] _malloc_trim_r,-1,184,-1,-1,-1
+Coremark,[.] __malloc_unlock,-1,2,-1,-1,-1
+Coremark,[.] _mbtowc_r,-1,20,-1,-1,-1
+Coremark,[.] __mcmp,-1,66,-1,-1,-1
+Coremark,[.] __mdiff,-1,376,-1,-1,-1
+Coremark,[.] memcpy,-1,308,-1,-1,-1
+Coremark,[.] MemManage_Handler,-1,2,-1,-1,-1
+Coremark,[.] memmove,-1,254,-1,-1,-1
+Coremark,[.] memset,-1,162,-1,-1,-1
+Coremark,[.] _mprec_log10,-1,64,-1,-1,-1
+Coremark,[.] __muldf3,-1,596,-1,-1,-1
+Coremark,[.] __multadd,-1,160,-1,-1,-1
+Coremark,[.] __multiply,-1,364,-1,-1,-1
+Coremark,[.] __nedf2,-1,122,-1,-1,-1
+Coremark,[.] NMI_Handler,-1,2,-1,-1,-1
+Coremark,[.] _open,-1,56,-1,-1,-1
+Coremark,[.] OTG_FS_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] PendSV_Handler,-1,2,-1,-1,-1
+Coremark,[.] __pow5mult,-1,180,-1,-1,-1
+Coremark,[.] printf,-1,40,-1,-1,-1
+Coremark,[.] _printf_r,-1,30,-1,-1,-1
+Coremark,[.] putc,-1,88,-1,-1,-1
+Coremark,[.] putchar,-1,16,-1,-1,-1
+Coremark,[.] _putchar_r,-1,6,-1,-1,-1
+Coremark,[.] _putc_r,-1,76,-1,-1,-1
+Coremark,[.] puts,-1,16,-1,-1,-1
+Coremark,[.] _puts_r,-1,104,-1,-1,-1
+Coremark,[.] PVD_PVM_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] QUADSPI_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] quorem,-1,300,-1,-1,-1
+Coremark,[.] _raise,-1,2,-1,-1,-1
+Coremark,[.] raise,-1,88,-1,-1,-1
+Coremark,[.] _raise_r,-1,82,-1,-1,-1
+Coremark,[.] __ratio,-1,96,-1,-1,-1
+Coremark,[.] RCCEx_PLLSAI1_Config,-1,284,-1,-1,-1
+Coremark,[.] RCCEx_PLLSAI2_Config,-1,256,-1,-1,-1
+Coremark,[.] RCC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RCC_SetFlashLatencyFromMSIRange,-1,140,-1,-1,-1
+Coremark,[.] _read,-1,128,-1,-1,-1
+Coremark,[.] _read_r,-1,44,-1,-1,-1
+Coremark,[.] _realloc_r,-1,836,-1,-1,-1
+Coremark,[.] __register_exitproc,-1,100,-1,-1,-1
+Coremark,[.] remap_handle,-1,64,-1,-1,-1
+Coremark,[.] _rename,-1,82,-1,-1,-1
+Coremark,[.] Reset_Handler,-1,54,-1,-1,-1
+Coremark,[.] RNG_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RTC_Alarm_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] RTC_WKUP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __s2b,-1,180,-1,-1,-1
+Coremark,[.] SAI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SAI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __sbprintf,-1,212,-1,-1,-1
+Coremark,[.] _sbrk,-1,80,-1,-1,-1
+Coremark,[.] _sbrk_r,-1,36,-1,-1,-1
+Coremark,[.] __sclose,-1,8,-1,-1,-1
+Coremark,[.] SDMMC1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __seofread,-1,4,-1,-1,-1
+Coremark,[.] __set_ctype,-1,12,-1,-1,-1
+Coremark,[.] setlocale,-1,24,-1,-1,-1
+Coremark,[.] _setlocale_r,-1,552,-1,-1,-1
+Coremark,[.] __sflush_r,-1,312,-1,-1,-1
+Coremark,[.] __sfp,-1,164,-1,-1,-1
+Coremark,[.] __sfp_lock_acquire,-1,2,-1,-1,-1
+Coremark,[.] __sfp_lock_release,-1,2,-1,-1,-1
+Coremark,[.] __sfvwrite_r,-1,768,-1,-1,-1
+Coremark,[.] signal,-1,80,-1,-1,-1
+Coremark,[.] _signal_r,-1,78,-1,-1,-1
+Coremark,[.] __sigtramp,-1,104,-1,-1,-1
+Coremark,[.] __sigtramp_r,-1,100,-1,-1,-1
+Coremark,[.] __sinit,-1,32,-1,-1,-1
+Coremark,[.] __sjis_mbtowc,-1,142,-1,-1,-1
+Coremark,[.] __sjis_wctomb,-1,86,-1,-1,-1
+Coremark,[.] __smakebuf_r,-1,220,-1,-1,-1
+Coremark,[.] SPI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SPI2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] SPI3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __sprint_r,-1,22,-1,-1,-1
+Coremark,[.] __sprint_r.part.0,-1,120,-1,-1,-1
+Coremark,[.] __sread,-1,34,-1,-1,-1
+Coremark,[.] __sseek,-1,34,-1,-1,-1
+Coremark,[.] _stat,-1,78,-1,-1,-1
+Coremark,[.] stdio_exit_handler,-1,24,-1,-1,-1
+Coremark,[.] strcasecmp,-1,76,-1,-1,-1
+Coremark,[.] strcat,-1,62,-1,-1,-1
+Coremark,[.] strchr,-1,206,-1,-1,-1
+Coremark,[.] strcmp,-1,734,-1,-1,-1
+Coremark,[.] strcpy,-1,186,-1,-1,-1
+Coremark,[.] strlcpy,-1,76,-1,-1,-1
+Coremark,[.] strlen,-1,216,-1,-1,-1
+Coremark,[.] strncasecmp,-1,84,-1,-1,-1
+Coremark,[.] strncmp,-1,138,-1,-1,-1
+Coremark,[.] strncpy,-1,104,-1,-1,-1
+Coremark,[.] strtol,-1,24,-1,-1,-1
+Coremark,[.] strtol_l,-1,24,-1,-1,-1
+Coremark,[.] _strtol_l.isra.0,-1,312,-1,-1,-1
+Coremark,[.] _strtol_r,-1,2,-1,-1,-1
+Coremark,[.] __subdf3,-1,634,-1,-1,-1
+Coremark,[.] SVC_Handler,-1,2,-1,-1,-1
+Coremark,[.] __swbuf,-1,24,-1,-1,-1
+Coremark,[.] __swbuf_r,-1,172,-1,-1,-1
+Coremark,[.] __swhatbuf_r,-1,96,-1,-1,-1
+Coremark,[.] _swiclose,-1,68,-1,-1,-1
+Coremark,[.] _swilseek,-1,144,-1,-1,-1
+Coremark,[.] _swiopen,-1,156,-1,-1,-1
+Coremark,[.] _swiread,-1,88,-1,-1,-1
+Coremark,[.] _swiwrite,-1,88,-1,-1,-1
+Coremark,[.] SWPMI1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __swrite,-1,62,-1,-1,-1
+Coremark,[.] __swsetup_r,-1,196,-1,-1,-1
+Coremark,[.] sysconf,-1,26,-1,-1,-1
+Coremark,[.] _system,-1,86,-1,-1,-1
+Coremark,[.] SystemClock_Config,-1,144,-1,-1,-1
+Coremark,[.] SystemInit,-1,80,-1,-1,-1
+Coremark,[.] SysTick_Handler,-1,4,-1,-1,-1
+Coremark,[.] TAMP_STAMP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_BRK_TIM15_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_CC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_TRG_COM_TIM17_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM1_UP_TIM16_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM6_DAC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM7_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_BRK_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_CC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_TRG_COM_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] TIM8_UP_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] _times,-1,30,-1,-1,-1
+Coremark,[.] TSC_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] UART4_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] UART5_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __ulp,-1,100,-1,-1,-1
+Coremark,[.] _unlink,-1,68,-1,-1,-1
+Coremark,[.] __unorddf2,-1,44,-1,-1,-1
+Coremark,[.] UsageFault_Handler,-1,2,-1,-1,-1
+Coremark,[.] USART1_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] USART2_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] USART3_IRQHandler,-1,2,-1,-1,-1
+Coremark,[.] __utf8_mbtowc,-1,466,-1,-1,-1
+Coremark,[.] __utf8_wctomb,-1,156,-1,-1,-1
+Coremark,[.] vfiprintf,-1,28,-1,-1,-1
+Coremark,[.] _vfiprintf_r,-1,6498,-1,-1,-1
+Coremark,[.] vfprintf,-1,28,-1,-1,-1
+Coremark,[.] _vfprintf_r,-1,10296,-1,-1,-1
+Coremark,[.] wcrtomb,-1,88,-1,-1,-1
+Coremark,[.] _wcrtomb_r,-1,76,-1,-1,-1
+Coremark,[.] wcsnrtombs,-1,44,-1,-1,-1
+Coremark,[.] _wcsnrtombs_l,-1,250,-1,-1,-1
+Coremark,[.] _wcsnrtombs_r,-1,36,-1,-1,-1
+Coremark,[.] wcsrtombs,-1,36,-1,-1,-1
+Coremark,[.] _wcsrtombs_r,-1,22,-1,-1,-1
+Coremark,[.] _wctomb_r,-1,20,-1,-1,-1
+Coremark,[.] _write,-1,132,-1,-1,-1
+Coremark,[.] _write_r,-1,44,-1,-1,-1
+Coremark,[.] WWDG_IRQHandler,-1,2,-1,-1,-1
+Mean,mean,14899,-1,-1,-1,-1
+Mean,geomean-successful-tests,14899,-1,-1,-1,-1
+Mean,geomean-all-tests,14899,-1,-1,-1,-1
+Mean,average-successful-tests,14899,-1,-1,-1,-1
+Mean,average-all-tests,14899,-1,-1,-1,-1
+coremark,coremark,14899,-1,-1,-1,-1
diff --git a/09-check_regression/csv-results-vs-prev-1/size.csv b/09-check_regression/csv-results-vs-prev-1/size.csv
new file mode 100644
index 0000000..a57f24a
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-1/size.csv
@@ -0,0 +1,341 @@
+benchmark,symbol,size
+Coremark,coremark.exe,66884
+Coremark,[.] abort,14
+Coremark,[.] ADC1_2_IRQHandler,2
+Coremark,[.] ADC3_IRQHandler,2
+Coremark,[.] __adddf3,630
+Coremark,[.] __aeabi_cdcmpeq,16
+Coremark,[.] __aeabi_cdcmple,16
+Coremark,[.] __aeabi_cdrcmple,32
+Coremark,[.] __aeabi_d2iz,78
+Coremark,[.] __aeabi_d2uiz,64
+Coremark,[.] __aeabi_dadd,630
+Coremark,[.] __aeabi_dcmpeq,18
+Coremark,[.] __aeabi_dcmpge,18
+Coremark,[.] __aeabi_dcmpgt,18
+Coremark,[.] __aeabi_dcmple,18
+Coremark,[.] __aeabi_dcmplt,18
+Coremark,[.] __aeabi_dcmpun,44
+Coremark,[.] __aeabi_ddiv,464
+Coremark,[.] __aeabi_dmul,596
+Coremark,[.] __aeabi_dsub,634
+Coremark,[.] __aeabi_f2d,66
+Coremark,[.] __aeabi_i2d,34
+Coremark,[.] __aeabi_l2d,90
+Coremark,[.] __aeabi_ui2d,30
+Coremark,[.] __aeabi_ul2d,106
+Coremark,[.] __any_on,92
+Coremark,[.] __ascii_mbtowc,42
+Coremark,[.] __ascii_wctomb,26
+Coremark,[.] __assert,10
+Coremark,[.] __assert_func,64
+Coremark,[.] atexit,12
+Coremark,[.] __b2d,188
+Coremark,[.] _Balloc,76
+Coremark,[.] _Bfree,18
+Coremark,[.] BusFault_Handler,2
+Coremark,[.] calc_func,4088
+Coremark,[.] __call_exitprocs,176
+Coremark,[.] _calloc_r,108
+Coremark,[.] CAN1_RX0_IRQHandler,2
+Coremark,[.] CAN1_RX1_IRQHandler,2
+Coremark,[.] CAN1_SCE_IRQHandler,2
+Coremark,[.] CAN1_TX_IRQHandler,2
+Coremark,[.] cleanup_stdio,64
+Coremark,[.] _close,40
+Coremark,[.] _close_r,36
+Coremark,[.] __cmpdf2,122
+Coremark,[.] COMP_IRQHandler,2
+Coremark,[.] __copybits,90
+Coremark,[.] core_bench_list,1504
+Coremark,[.] core_state_transition,436
+Coremark,[.] crcu16,280
+Coremark,[.] currentlocale,92
+Coremark,[.] __d2b,192
+Coremark,[.] DebugMon_Handler,2
+Coremark,[.] Default_Handler,2
+Coremark,[.] DFSDM1_FLT0_IRQHandler,2
+Coremark,[.] DFSDM1_FLT1_IRQHandler,2
+Coremark,[.] DFSDM1_FLT2_IRQHandler,2
+Coremark,[.] DFSDM1_FLT3_IRQHandler,2
+Coremark,[.] __divdf3,464
+Coremark,[.] DMA1_Channel1_IRQHandler,2
+Coremark,[.] DMA1_Channel2_IRQHandler,2
+Coremark,[.] DMA1_Channel3_IRQHandler,2
+Coremark,[.] DMA1_Channel4_IRQHandler,2
+Coremark,[.] DMA1_Channel5_IRQHandler,2
+Coremark,[.] DMA1_Channel6_IRQHandler,2
+Coremark,[.] DMA1_Channel7_IRQHandler,2
+Coremark,[.] DMA2_Channel1_IRQHandler,2
+Coremark,[.] DMA2_Channel2_IRQHandler,2
+Coremark,[.] DMA2_Channel3_IRQHandler,2
+Coremark,[.] DMA2_Channel4_IRQHandler,2
+Coremark,[.] DMA2_Channel5_IRQHandler,2
+Coremark,[.] DMA2_Channel6_IRQHandler,2
+Coremark,[.] DMA2_Channel7_IRQHandler,2
+Coremark,[.] _dtoa_r,3716
+Coremark,[.] __env_lock,2
+Coremark,[.] __env_unlock,2
+Coremark,[.] __eqdf2,122
+Coremark,[.] __errno,12
+Coremark,[.] __eucjp_mbtowc,190
+Coremark,[.] __eucjp_wctomb,124
+Coremark,[.] _exit,20
+Coremark,[.] exit,28
+Coremark,[.] __extendsfdf2,66
+Coremark,[.] EXTI0_IRQHandler,2
+Coremark,[.] EXTI15_10_IRQHandler,2
+Coremark,[.] EXTI1_IRQHandler,2
+Coremark,[.] EXTI2_IRQHandler,2
+Coremark,[.] EXTI3_IRQHandler,2
+Coremark,[.] EXTI4_IRQHandler,2
+Coremark,[.] EXTI9_5_IRQHandler,2
+Coremark,[.] fclose,16
+Coremark,[.] _fclose_r,128
+Coremark,[.] fflush,72
+Coremark,[.] _fflush_r,44
+Coremark,[.] _findenv_r,128
+Coremark,[.] fiprintf,40
+Coremark,[.] _fiprintf_r,28
+Coremark,[.] __fixdfsi,78
+Coremark,[.] __fixunsdfsi,64
+Coremark,[.] FLASH_IRQHandler,2
+Coremark,[.] __floatdidf,90
+Coremark,[.] __floatsidf,34
+Coremark,[.] __floatundidf,106
+Coremark,[.] __floatunsidf,30
+Coremark,[.] FMC_IRQHandler,2
+Coremark,[.] __fp_lock,4
+Coremark,[.] __fp_lock_all,20
+Coremark,[.] FPU_IRQHandler,2
+Coremark,[.] __fp_unlock,4
+Coremark,[.] __fp_unlock_all,20
+Coremark,[.] __fputwc,152
+Coremark,[.] fputwc,72
+Coremark,[.] _fputwc_r,38
+Coremark,[.] _free_r,504
+Coremark,[.] frexp,100
+Coremark,[.] _fstat,30
+Coremark,[.] _fstat_r,44
+Coremark,[.] _fwalk_sglue,68
+Coremark,[.] __gedf2,138
+Coremark,[.] get_arg,2976
+Coremark,[.] _getenv_r,16
+Coremark,[.] __get_locale_env,80
+Coremark,[.] _getpid,4
+Coremark,[.] _getpid_r,4
+Coremark,[.] get_seed_args,152
+Coremark,[.] _gettimeofday,40
+Coremark,[.] global_stdio_init.part.0,208
+Coremark,[.] __gtdf2,138
+Coremark,[.] HAL_GetTick,12
+Coremark,[.] HAL_IncTick,24
+Coremark,[.] hal_init,14
+Coremark,[.] HAL_Init,48
+Coremark,[.] HAL_InitTick,76
+Coremark,[.] HAL_MspInit,2
+Coremark,[.] HAL_NVIC_SetPriority,120
+Coremark,[.] HAL_NVIC_SetPriorityGrouping,36
+Coremark,[.] HAL_PWREx_ControlVoltageScaling,128
+Coremark,[.] HAL_PWREx_GetVoltageRange,16
+Coremark,[.] HAL_RCC_ClockConfig,304
+Coremark,[.] HAL_RCCEx_PeriphCLKConfig,1072
+Coremark,[.] HAL_RCC_GetSysClockFreq,172
+Coremark,[.] HAL_RCC_OscConfig,1520
+Coremark,[.] HAL_SYSTICK_Config,52
+Coremark,[.] HardFault_Handler,2
+Coremark,[.] __hi0bits,68
+Coremark,[.] __i2b,92
+Coremark,[.] I2C1_ER_IRQHandler,2
+Coremark,[.] I2C1_EV_IRQHandler,2
+Coremark,[.] I2C2_ER_IRQHandler,2
+Coremark,[.] I2C2_EV_IRQHandler,2
+Coremark,[.] I2C3_ER_IRQHandler,2
+Coremark,[.] I2C3_EV_IRQHandler,2
+Coremark,[.] initialise_monitor_handles,108
+Coremark,[.] _init_signal,56
+Coremark,[.] _init_signal_r,48
+Coremark,[.] _isatty,112
+Coremark,[.] _isatty_r,36
+Coremark,[.] __jis_mbtowc,300
+Coremark,[.] __jis_wctomb,124
+Coremark,[.] _kill,40
+Coremark,[.] _kill_r,44
+Coremark,[.] LCD_IRQHandler,2
+Coremark,[.] __ledf2,130
+Coremark,[.] __libc_fini_array,40
+Coremark,[.] __libc_init_array,80
+Coremark,[.] _link,16
+Coremark,[.] __lo0bits,94
+Coremark,[.] __loadlocale,1728
+Coremark,[.] localeconv,8
+Coremark,[.] __localeconv_l,4
+Coremark,[.] _localeconv_r,8
+Coremark,[.] __locale_mb_cur_max,12
+Coremark,[.] LPTIM1_IRQHandler,2
+Coremark,[.] LPTIM2_IRQHandler,2
+Coremark,[.] LPUART1_IRQHandler,2
+Coremark,[.] _lseek,40
+Coremark,[.] _lseek_r,44
+Coremark,[.] __lshift,240
+Coremark,[.] __ltdf2,130
+Coremark,[.] main,3652
+Coremark,[.] __malloc_lock,2
+Coremark,[.] _malloc_r,1364
+Coremark,[.] _malloc_trim_r,184
+Coremark,[.] __malloc_unlock,2
+Coremark,[.] _mbtowc_r,20
+Coremark,[.] __mcmp,66
+Coremark,[.] __mdiff,376
+Coremark,[.] memcpy,308
+Coremark,[.] MemManage_Handler,2
+Coremark,[.] memmove,254
+Coremark,[.] memset,162
+Coremark,[.] _mprec_log10,64
+Coremark,[.] __muldf3,596
+Coremark,[.] __multadd,160
+Coremark,[.] __multiply,364
+Coremark,[.] __nedf2,122
+Coremark,[.] NMI_Handler,2
+Coremark,[.] _open,56
+Coremark,[.] OTG_FS_IRQHandler,2
+Coremark,[.] PendSV_Handler,2
+Coremark,[.] __pow5mult,180
+Coremark,[.] printf,40
+Coremark,[.] _printf_r,30
+Coremark,[.] putc,88
+Coremark,[.] putchar,16
+Coremark,[.] _putchar_r,6
+Coremark,[.] _putc_r,76
+Coremark,[.] puts,16
+Coremark,[.] _puts_r,104
+Coremark,[.] PVD_PVM_IRQHandler,2
+Coremark,[.] QUADSPI_IRQHandler,2
+Coremark,[.] quorem,300
+Coremark,[.] _raise,2
+Coremark,[.] raise,88
+Coremark,[.] _raise_r,82
+Coremark,[.] __ratio,96
+Coremark,[.] RCCEx_PLLSAI1_Config,284
+Coremark,[.] RCCEx_PLLSAI2_Config,256
+Coremark,[.] RCC_IRQHandler,2
+Coremark,[.] RCC_SetFlashLatencyFromMSIRange,140
+Coremark,[.] _read,128
+Coremark,[.] _read_r,44
+Coremark,[.] _realloc_r,836
+Coremark,[.] __register_exitproc,100
+Coremark,[.] remap_handle,64
+Coremark,[.] _rename,82
+Coremark,[.] Reset_Handler,54
+Coremark,[.] RNG_IRQHandler,2
+Coremark,[.] RTC_Alarm_IRQHandler,2
+Coremark,[.] RTC_WKUP_IRQHandler,2
+Coremark,[.] __s2b,180
+Coremark,[.] SAI1_IRQHandler,2
+Coremark,[.] SAI2_IRQHandler,2
+Coremark,[.] __sbprintf,212
+Coremark,[.] _sbrk,80
+Coremark,[.] _sbrk_r,36
+Coremark,[.] __sclose,8
+Coremark,[.] SDMMC1_IRQHandler,2
+Coremark,[.] __seofread,4
+Coremark,[.] __set_ctype,12
+Coremark,[.] setlocale,24
+Coremark,[.] _setlocale_r,552
+Coremark,[.] __sflush_r,312
+Coremark,[.] __sfp,164
+Coremark,[.] __sfp_lock_acquire,2
+Coremark,[.] __sfp_lock_release,2
+Coremark,[.] __sfvwrite_r,768
+Coremark,[.] signal,80
+Coremark,[.] _signal_r,78
+Coremark,[.] __sigtramp,104
+Coremark,[.] __sigtramp_r,100
+Coremark,[.] __sinit,32
+Coremark,[.] __sjis_mbtowc,142
+Coremark,[.] __sjis_wctomb,86
+Coremark,[.] __smakebuf_r,220
+Coremark,[.] SPI1_IRQHandler,2
+Coremark,[.] SPI2_IRQHandler,2
+Coremark,[.] SPI3_IRQHandler,2
+Coremark,[.] __sprint_r,22
+Coremark,[.] __sprint_r.part.0,120
+Coremark,[.] __sread,34
+Coremark,[.] __sseek,34
+Coremark,[.] _stat,78
+Coremark,[.] stdio_exit_handler,24
+Coremark,[.] strcasecmp,76
+Coremark,[.] strcat,62
+Coremark,[.] strchr,206
+Coremark,[.] strcmp,734
+Coremark,[.] strcpy,186
+Coremark,[.] strlcpy,76
+Coremark,[.] strlen,216
+Coremark,[.] strncasecmp,84
+Coremark,[.] strncmp,138
+Coremark,[.] strncpy,104
+Coremark,[.] strtol,24
+Coremark,[.] strtol_l,24
+Coremark,[.] _strtol_l.isra.0,312
+Coremark,[.] _strtol_r,2
+Coremark,[.] __subdf3,634
+Coremark,[.] SVC_Handler,2
+Coremark,[.] __swbuf,24
+Coremark,[.] __swbuf_r,172
+Coremark,[.] __swhatbuf_r,96
+Coremark,[.] _swiclose,68
+Coremark,[.] _swilseek,144
+Coremark,[.] _swiopen,156
+Coremark,[.] _swiread,88
+Coremark,[.] _swiwrite,88
+Coremark,[.] SWPMI1_IRQHandler,2
+Coremark,[.] __swrite,62
+Coremark,[.] __swsetup_r,196
+Coremark,[.] sysconf,26
+Coremark,[.] _system,86
+Coremark,[.] SystemClock_Config,144
+Coremark,[.] SystemInit,80
+Coremark,[.] SysTick_Handler,4
+Coremark,[.] TAMP_STAMP_IRQHandler,2
+Coremark,[.] TIM1_BRK_TIM15_IRQHandler,2
+Coremark,[.] TIM1_CC_IRQHandler,2
+Coremark,[.] TIM1_TRG_COM_TIM17_IRQHandler,2
+Coremark,[.] TIM1_UP_TIM16_IRQHandler,2
+Coremark,[.] TIM2_IRQHandler,2
+Coremark,[.] TIM3_IRQHandler,2
+Coremark,[.] TIM4_IRQHandler,2
+Coremark,[.] TIM5_IRQHandler,2
+Coremark,[.] TIM6_DAC_IRQHandler,2
+Coremark,[.] TIM7_IRQHandler,2
+Coremark,[.] TIM8_BRK_IRQHandler,2
+Coremark,[.] TIM8_CC_IRQHandler,2
+Coremark,[.] TIM8_TRG_COM_IRQHandler,2
+Coremark,[.] TIM8_UP_IRQHandler,2
+Coremark,[.] _times,30
+Coremark,[.] TSC_IRQHandler,2
+Coremark,[.] UART4_IRQHandler,2
+Coremark,[.] UART5_IRQHandler,2
+Coremark,[.] __ulp,100
+Coremark,[.] _unlink,68
+Coremark,[.] __unorddf2,44
+Coremark,[.] UsageFault_Handler,2
+Coremark,[.] USART1_IRQHandler,2
+Coremark,[.] USART2_IRQHandler,2
+Coremark,[.] USART3_IRQHandler,2
+Coremark,[.] __utf8_mbtowc,466
+Coremark,[.] __utf8_wctomb,156
+Coremark,[.] vfiprintf,28
+Coremark,[.] _vfiprintf_r,6498
+Coremark,[.] vfprintf,28
+Coremark,[.] _vfprintf_r,10296
+Coremark,[.] wcrtomb,88
+Coremark,[.] _wcrtomb_r,76
+Coremark,[.] wcsnrtombs,44
+Coremark,[.] _wcsnrtombs_l,250
+Coremark,[.] _wcsnrtombs_r,36
+Coremark,[.] wcsrtombs,36
+Coremark,[.] _wcsrtombs_r,22
+Coremark,[.] _wctomb_r,20
+Coremark,[.] _write,132
+Coremark,[.] _write_r,44
+Coremark,[.] WWDG_IRQHandler,2
diff --git a/09-check_regression/csv-results-vs-prev-1/sve.csv b/09-check_regression/csv-results-vs-prev-1/sve.csv
new file mode 100644
index 0000000..3b65cd8
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-1/sve.csv
@@ -0,0 +1 @@
+benchmark,symbol,num_sve_loops
diff --git a/09-check_regression/csv-results-vs-prev-1/vect.csv b/09-check_regression/csv-results-vs-prev-1/vect.csv
new file mode 100644
index 0000000..2b88a4e
--- /dev/null
+++ b/09-check_regression/csv-results-vs-prev-1/vect.csv
@@ -0,0 +1 @@
+benchmark,symbol,num_vect_loops
diff --git a/09-check_regression/extra-bisect-params b/09-check_regression/extra-bisect-params
new file mode 100644
index 0000000..fa6c7c9
--- /dev/null
+++ b/09-check_regression/extra-bisect-params
@@ -0,0 +1 @@
+extra_build_params=
diff --git a/09-check_regression/mail-body.txt b/09-check_regression/mail-body.txt
new file mode 100644
index 0000000..5a7bc2b
--- /dev/null
+++ b/09-check_regression/mail-body.txt
@@ -0,0 +1,13 @@
+After $COMMIT_COMPONENT $COMMIT_LOG
+
+Below reproducer instructions can be used to re-build both "first_bad" and "last_good" cross-toolchains used in this bisection. Naturally, the scripts will fail when triggerring benchmarking jobs if you don\'t have access to Linaro TCWG CI.
+
+Configuration:
+- Benchmark: EEMBC CoreMark
+- Toolchain: GCC + Newlib + GNU LD
+- Version: all components were built from their tip of trunk
+- Target: arm-eabi
+- Compiler flags: -O3 -flto -mthumb
+- Hardware:
+
+This benchmarking CI is work-in-progress, and we welcome feedback and suggestions at linaro-toolchain@lists.linaro.org . In our improvement plans is to add support for SPEC CPU2017 benchmarks and provide "perf report/annotate" data behind these reports.
diff --git a/09-check_regression/mail-subject.txt b/09-check_regression/mail-subject.txt
new file mode 100644
index 0000000..8d73ddf
--- /dev/null
+++ b/09-check_regression/mail-subject.txt
@@ -0,0 +1 @@
+[TCWG CI] No change after $COMMIT_COMPONENT: $COMMIT_SUBJECT
diff --git a/09-check_regression/results-vs-first-brief.csv b/09-check_regression/results-vs-first-brief.csv
new file mode 100644
index 0000000..02b4cee
--- /dev/null
+++ b/09-check_regression/results-vs-first-brief.csv
@@ -0,0 +1,106 @@
+benchmark,symbol,csv-results-vs-first-1/results:rel_sample,csv-results-vs-first-1/results:rel_size,csv-results-vs-first-1/results:rel_num_vect_loops,csv-results-vs-first-1/results:rel_num_sve_loops,csv-results-vs-first-1/results:rel_symbol_md5sum,csv-results-vs-first-0/results:sample,csv-results-vs-first-1/results:sample,csv-results-vs-first-0/results:size,csv-results-vs-first-1/results:size,csv-results-vs-first-0/results:num_vect_loops,csv-results-vs-first-1/results:num_vect_loops,csv-results-vs-first-0/results:num_sve_loops,csv-results-vs-first-1/results:num_sve_loops,csv-results-vs-first-0/results:symbol_md5sum,csv-results-vs-first-1/results:symbol_md5sum
+Coremark,[.] abort ,n/a,100,n/a,n/a,n/a,-1,-1,14,14,-1,-1,-1,-1,-1,-1
+Coremark,[.] ADC1_2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] ADC3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __adddf3 ,n/a,100,n/a,n/a,n/a,-1,-1,630,630,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_cdcmpeq ,n/a,100,n/a,n/a,n/a,-1,-1,16,16,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_cdcmple ,n/a,100,n/a,n/a,n/a,-1,-1,16,16,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_cdrcmple ,n/a,100,n/a,n/a,n/a,-1,-1,32,32,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_d2iz ,n/a,100,n/a,n/a,n/a,-1,-1,78,78,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_d2uiz ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dadd ,n/a,100,n/a,n/a,n/a,-1,-1,630,630,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpeq ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpge ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpgt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmple ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmplt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpun ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ddiv ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dmul ,n/a,100,n/a,n/a,n/a,-1,-1,596,596,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dsub ,n/a,100,n/a,n/a,n/a,-1,-1,634,634,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_f2d ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_i2d ,n/a,100,n/a,n/a,n/a,-1,-1,34,34,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_l2d ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ui2d ,n/a,100,n/a,n/a,n/a,-1,-1,30,30,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ul2d ,n/a,100,n/a,n/a,n/a,-1,-1,106,106,-1,-1,-1,-1,-1,-1
+Coremark,[.] __any_on ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,42,42,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,26,26,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert ,n/a,100,n/a,n/a,n/a,-1,-1,10,10,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert_func ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] atexit ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __b2d ,n/a,100,n/a,n/a,n/a,-1,-1,188,188,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Balloc ,n/a,100,n/a,n/a,n/a,-1,-1,76,76,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Bfree ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] BusFault_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] calc_func ,n/a,100,n/a,n/a,n/a,-1,-1,4088,4088,-1,-1,-1,-1,-1,-1
+Coremark,[.] __call_exitprocs ,n/a,100,n/a,n/a,n/a,-1,-1,176,176,-1,-1,-1,-1,-1,-1
+Coremark,[.] _calloc_r ,n/a,100,n/a,n/a,n/a,-1,-1,108,108,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_SCE_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_TX_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] cleanup_stdio ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close_r ,n/a,100,n/a,n/a,n/a,-1,-1,36,36,-1,-1,-1,-1,-1,-1
+Coremark,[.] __cmpdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] COMP_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __copybits ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_bench_list ,n/a,100,n/a,n/a,n/a,-1,-1,1504,1504,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_state_transition ,n/a,100,n/a,n/a,n/a,-1,-1,436,436,-1,-1,-1,-1,-1,-1
+Coremark,[.] crcu16 ,n/a,100,n/a,n/a,n/a,-1,-1,280,280,-1,-1,-1,-1,-1,-1
+Coremark,[.] currentlocale ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __d2b ,n/a,100,n/a,n/a,n/a,-1,-1,192,192,-1,-1,-1,-1,-1,-1
+Coremark,[.] DebugMon_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] Default_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __divdf3 ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] _dtoa_r ,n/a,100,n/a,n/a,n/a,-1,-1,3716,3716,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_lock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_unlock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eqdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] __errno ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,190,190,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,124,124,-1,-1,-1,-1,-1,-1
+Coremark,[.] _exit ,n/a,100,n/a,n/a,n/a,-1,-1,20,20,-1,-1,-1,-1,-1,-1
+Coremark,[.] exit ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __extendsfdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI15_10_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI9_5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] fclose ,n/a,100,n/a,n/a,n/a,-1,-1,16,16,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fclose_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fflush ,n/a,100,n/a,n/a,n/a,-1,-1,72,72,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fflush_r ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] _findenv_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fiprintf ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fiprintf_r ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,78,78,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixunsdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Mean,mean ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+coremark,coremark ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
diff --git a/09-check_regression/results-vs-first-full.csv b/09-check_regression/results-vs-first-full.csv
new file mode 100644
index 0000000..a7381b9
--- /dev/null
+++ b/09-check_regression/results-vs-first-full.csv
@@ -0,0 +1,7 @@
+benchmark,symbol,csv-results-vs-first-1/results:rel_sample,csv-results-vs-first-1/results:rel_size,csv-results-vs-first-1/results:rel_num_vect_loops,csv-results-vs-first-1/results:rel_num_sve_loops,csv-results-vs-first-1/results:rel_symbol_md5sum,csv-results-vs-first-0/results:sample,csv-results-vs-first-1/results:sample,csv-results-vs-first-0/results:size,csv-results-vs-first-1/results:size,csv-results-vs-first-0/results:num_vect_loops,csv-results-vs-first-1/results:num_vect_loops,csv-results-vs-first-0/results:num_sve_loops,csv-results-vs-first-1/results:num_sve_loops,csv-results-vs-first-0/results:symbol_md5sum,csv-results-vs-first-1/results:symbol_md5sum
+Mean,mean ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+coremark,coremark ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
diff --git a/09-check_regression/results-vs-first-internal.csv b/09-check_regression/results-vs-first-internal.csv
new file mode 100644
index 0000000..afa1d54
--- /dev/null
+++ b/09-check_regression/results-vs-first-internal.csv
@@ -0,0 +1,98 @@
+benchmark,symbol,csv-results-vs-first-1/results:rel_sample,csv-results-vs-first-1/results:rel_size,csv-results-vs-first-1/results:rel_num_vect_loops,csv-results-vs-first-1/results:rel_num_sve_loops,csv-results-vs-first-1/results:rel_symbol_md5sum,csv-results-vs-first-0/results:sample,csv-results-vs-first-1/results:sample,csv-results-vs-first-0/results:size,csv-results-vs-first-1/results:size,csv-results-vs-first-0/results:num_vect_loops,csv-results-vs-first-1/results:num_vect_loops,csv-results-vs-first-0/results:num_sve_loops,csv-results-vs-first-1/results:num_sve_loops,csv-results-vs-first-0/results:symbol_md5sum,csv-results-vs-first-1/results:symbol_md5sum
+Coremark,[.] __aeabi_d2uiz ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dadd ,n/a,100,n/a,n/a,n/a,-1,-1,630,630,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpeq ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpge ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpgt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmple ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmplt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpun ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ddiv ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dmul ,n/a,100,n/a,n/a,n/a,-1,-1,596,596,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dsub ,n/a,100,n/a,n/a,n/a,-1,-1,634,634,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_f2d ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_i2d ,n/a,100,n/a,n/a,n/a,-1,-1,34,34,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_l2d ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ui2d ,n/a,100,n/a,n/a,n/a,-1,-1,30,30,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ul2d ,n/a,100,n/a,n/a,n/a,-1,-1,106,106,-1,-1,-1,-1,-1,-1
+Coremark,[.] __any_on ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,42,42,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,26,26,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert ,n/a,100,n/a,n/a,n/a,-1,-1,10,10,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert_func ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] atexit ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __b2d ,n/a,100,n/a,n/a,n/a,-1,-1,188,188,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Balloc ,n/a,100,n/a,n/a,n/a,-1,-1,76,76,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Bfree ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] BusFault_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] calc_func ,n/a,100,n/a,n/a,n/a,-1,-1,4088,4088,-1,-1,-1,-1,-1,-1
+Coremark,[.] __call_exitprocs ,n/a,100,n/a,n/a,n/a,-1,-1,176,176,-1,-1,-1,-1,-1,-1
+Coremark,[.] _calloc_r ,n/a,100,n/a,n/a,n/a,-1,-1,108,108,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_SCE_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_TX_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] cleanup_stdio ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close_r ,n/a,100,n/a,n/a,n/a,-1,-1,36,36,-1,-1,-1,-1,-1,-1
+Coremark,[.] __cmpdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] COMP_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __copybits ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_bench_list ,n/a,100,n/a,n/a,n/a,-1,-1,1504,1504,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_state_transition ,n/a,100,n/a,n/a,n/a,-1,-1,436,436,-1,-1,-1,-1,-1,-1
+Coremark,[.] crcu16 ,n/a,100,n/a,n/a,n/a,-1,-1,280,280,-1,-1,-1,-1,-1,-1
+Coremark,[.] currentlocale ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __d2b ,n/a,100,n/a,n/a,n/a,-1,-1,192,192,-1,-1,-1,-1,-1,-1
+Coremark,[.] DebugMon_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] Default_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __divdf3 ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] _dtoa_r ,n/a,100,n/a,n/a,n/a,-1,-1,3716,3716,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_lock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_unlock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eqdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] __errno ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,190,190,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,124,124,-1,-1,-1,-1,-1,-1
+Coremark,[.] _exit ,n/a,100,n/a,n/a,n/a,-1,-1,20,20,-1,-1,-1,-1,-1,-1
+Coremark,[.] exit ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __extendsfdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI15_10_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI9_5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] fclose ,n/a,100,n/a,n/a,n/a,-1,-1,16,16,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fclose_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fflush ,n/a,100,n/a,n/a,n/a,-1,-1,72,72,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fflush_r ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] _findenv_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fiprintf ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fiprintf_r ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,78,78,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixunsdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Mean,mean ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+coremark,coremark ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
diff --git a/09-check_regression/results-vs-first.csv b/09-check_regression/results-vs-first.csv
new file mode 100644
index 0000000..afa1d54
--- /dev/null
+++ b/09-check_regression/results-vs-first.csv
@@ -0,0 +1,98 @@
+benchmark,symbol,csv-results-vs-first-1/results:rel_sample,csv-results-vs-first-1/results:rel_size,csv-results-vs-first-1/results:rel_num_vect_loops,csv-results-vs-first-1/results:rel_num_sve_loops,csv-results-vs-first-1/results:rel_symbol_md5sum,csv-results-vs-first-0/results:sample,csv-results-vs-first-1/results:sample,csv-results-vs-first-0/results:size,csv-results-vs-first-1/results:size,csv-results-vs-first-0/results:num_vect_loops,csv-results-vs-first-1/results:num_vect_loops,csv-results-vs-first-0/results:num_sve_loops,csv-results-vs-first-1/results:num_sve_loops,csv-results-vs-first-0/results:symbol_md5sum,csv-results-vs-first-1/results:symbol_md5sum
+Coremark,[.] __aeabi_d2uiz ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dadd ,n/a,100,n/a,n/a,n/a,-1,-1,630,630,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpeq ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpge ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpgt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmple ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmplt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpun ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ddiv ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dmul ,n/a,100,n/a,n/a,n/a,-1,-1,596,596,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dsub ,n/a,100,n/a,n/a,n/a,-1,-1,634,634,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_f2d ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_i2d ,n/a,100,n/a,n/a,n/a,-1,-1,34,34,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_l2d ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ui2d ,n/a,100,n/a,n/a,n/a,-1,-1,30,30,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ul2d ,n/a,100,n/a,n/a,n/a,-1,-1,106,106,-1,-1,-1,-1,-1,-1
+Coremark,[.] __any_on ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,42,42,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,26,26,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert ,n/a,100,n/a,n/a,n/a,-1,-1,10,10,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert_func ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] atexit ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __b2d ,n/a,100,n/a,n/a,n/a,-1,-1,188,188,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Balloc ,n/a,100,n/a,n/a,n/a,-1,-1,76,76,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Bfree ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] BusFault_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] calc_func ,n/a,100,n/a,n/a,n/a,-1,-1,4088,4088,-1,-1,-1,-1,-1,-1
+Coremark,[.] __call_exitprocs ,n/a,100,n/a,n/a,n/a,-1,-1,176,176,-1,-1,-1,-1,-1,-1
+Coremark,[.] _calloc_r ,n/a,100,n/a,n/a,n/a,-1,-1,108,108,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_SCE_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_TX_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] cleanup_stdio ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close_r ,n/a,100,n/a,n/a,n/a,-1,-1,36,36,-1,-1,-1,-1,-1,-1
+Coremark,[.] __cmpdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] COMP_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __copybits ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_bench_list ,n/a,100,n/a,n/a,n/a,-1,-1,1504,1504,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_state_transition ,n/a,100,n/a,n/a,n/a,-1,-1,436,436,-1,-1,-1,-1,-1,-1
+Coremark,[.] crcu16 ,n/a,100,n/a,n/a,n/a,-1,-1,280,280,-1,-1,-1,-1,-1,-1
+Coremark,[.] currentlocale ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __d2b ,n/a,100,n/a,n/a,n/a,-1,-1,192,192,-1,-1,-1,-1,-1,-1
+Coremark,[.] DebugMon_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] Default_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __divdf3 ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] _dtoa_r ,n/a,100,n/a,n/a,n/a,-1,-1,3716,3716,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_lock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_unlock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eqdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] __errno ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,190,190,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,124,124,-1,-1,-1,-1,-1,-1
+Coremark,[.] _exit ,n/a,100,n/a,n/a,n/a,-1,-1,20,20,-1,-1,-1,-1,-1,-1
+Coremark,[.] exit ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __extendsfdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI15_10_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI9_5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] fclose ,n/a,100,n/a,n/a,n/a,-1,-1,16,16,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fclose_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fflush ,n/a,100,n/a,n/a,n/a,-1,-1,72,72,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fflush_r ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] _findenv_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fiprintf ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fiprintf_r ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,78,78,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixunsdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Mean,mean ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+coremark,coremark ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
diff --git a/09-check_regression/results-vs-first.log b/09-check_regression/results-vs-first.log
new file mode 100644
index 0000000..e35f125
--- /dev/null
+++ b/09-check_regression/results-vs-first.log
@@ -0,0 +1,210 @@
++ relative_opt=
++ '[' xset = xset ']'
++ relative_opt=--relative
++ results=("$results_ref" "${results[@]}")
++ num=0
++ mkdir -p /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression
++ results_top=bkp-01.tcwglab:/home/tcwg-benchmark/results
++ csvs=
++ for i in "${results[@]}"
++ rsync -az --delete bkp-01.tcwglab:/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/ results-vs-first-0/
++ csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0
++ mkdir -p /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0
++ hw_tag=stm32
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/gather-metrics.sh --results_dir results-vs-first-0 --csv_results_dir /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0 --hw_tag stm32 --has_perf_logs no --verbose true --num-symbols 0
+++ dirname /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/gather-metrics.sh
++ bmk_scripts=/home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts
++ results_dir=undef
++ csv_results_dir=undef
++ hw_tag=undef
++ has_perf_logs=undef
++ metrics=()
++ verbose=false
++ test 12 -gt 0
++ case $1 in
++ results_dir=results-vs-first-0
++ shift
++ shift
++ test 10 -gt 0
++ case $1 in
++ csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0
++ shift
++ shift
++ test 8 -gt 0
++ case $1 in
++ hw_tag=stm32
++ shift
++ shift
++ test 6 -gt 0
++ case $1 in
++ has_perf_logs=no
++ shift
++ shift
++ test 4 -gt 0
++ case $1 in
++ verbose=true
++ shift
++ shift
++ test 2 -gt 0
++ case $1 in
++ num_symbols=0
++ shift
++ shift
++ test 0 -gt 0
++ check_option results-vs-first-0 'results_dir not set.'
++ local var=results-vs-first-0
++ local 'msg=results_dir not set.'
++ '[' xresults-vs-first-0 = xundef ']'
++ check_option /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0 'csv_results_dir not set.'
++ local var=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0
++ local 'msg=csv_results_dir not set.'
++ '[' x/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0 = xundef ']'
++ check_option stm32 'hw_tag not set.'
++ local var=stm32
++ local 'msg=hw_tag not set.'
++ '[' xstm32 = xundef ']'
++ check_option no 'has_perf_logs not set.'
++ local var=no
++ local 'msg=has_perf_logs not set.'
++ '[' xno = xundef ']'
++ '[' 0 = 0 ']'
++ metrics=(perf size vect sve md5sum)
++ mkdir -p /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0
++ true
++ verbose_opt=--verbose
++ num_entries_opt=' --num-symbols 0'
++ gather_perf_data no stm32 results-vs-first-0 /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0
++ local has_perf_logs=no
++ local hw_tag=stm32
++ local results_dir=results-vs-first-0
++ local csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0
++ '[' xno = xyes ']'
++ mapfile -t this_csv
+++ find results-vs-first-0 -name results.csv -a '!' -path 'results-vs-first-0/csv_results/*'
++ '[' 1 -eq 1 ']'
++ cat results-vs-first-0/dev-01.tcwglab/results.csv
++ cut -d, -f 1-3
++ '[' xno = xyes ']'
++ bmk_name=coremark
++ metrics=("${metrics[@]:1}")
++ merge_opts=("$csv_results_dir/perf.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/size-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/size.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/perf.csv --results_dir results-vs-first-0
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/vect-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/vect.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/perf.csv --results_dir results-vs-first-0
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/sve-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/sve.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/perf.csv --results_dir results-vs-first-0
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/md5sum-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/md5sum.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/perf.csv --results_dir results-vs-first-0
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/merge-metric-csvs.py /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/perf.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/size.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/vect.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/sve.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/md5sum.csv
++ csvs=' /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/results.csv'
++ num=1
++ for i in "${results[@]}"
++ rsync -az --delete bkp-01.tcwglab:/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/ results-vs-first-1/
++ csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1
++ mkdir -p /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1
++ hw_tag=stm32
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/gather-metrics.sh --results_dir results-vs-first-1 --csv_results_dir /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1 --hw_tag stm32 --has_perf_logs no --verbose true --num-symbols 0
+++ dirname /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/gather-metrics.sh
++ bmk_scripts=/home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts
++ results_dir=undef
++ csv_results_dir=undef
++ hw_tag=undef
++ has_perf_logs=undef
++ metrics=()
++ verbose=false
++ test 12 -gt 0
++ case $1 in
++ results_dir=results-vs-first-1
++ shift
++ shift
++ test 10 -gt 0
++ case $1 in
++ csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1
++ shift
++ shift
++ test 8 -gt 0
++ case $1 in
++ hw_tag=stm32
++ shift
++ shift
++ test 6 -gt 0
++ case $1 in
++ has_perf_logs=no
++ shift
++ shift
++ test 4 -gt 0
++ case $1 in
++ verbose=true
++ shift
++ shift
++ test 2 -gt 0
++ case $1 in
++ num_symbols=0
++ shift
++ shift
++ test 0 -gt 0
++ check_option results-vs-first-1 'results_dir not set.'
++ local var=results-vs-first-1
++ local 'msg=results_dir not set.'
++ '[' xresults-vs-first-1 = xundef ']'
++ check_option /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1 'csv_results_dir not set.'
++ local var=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1
++ local 'msg=csv_results_dir not set.'
++ '[' x/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1 = xundef ']'
++ check_option stm32 'hw_tag not set.'
++ local var=stm32
++ local 'msg=hw_tag not set.'
++ '[' xstm32 = xundef ']'
++ check_option no 'has_perf_logs not set.'
++ local var=no
++ local 'msg=has_perf_logs not set.'
++ '[' xno = xundef ']'
++ '[' 0 = 0 ']'
++ metrics=(perf size vect sve md5sum)
++ mkdir -p /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1
++ true
++ verbose_opt=--verbose
++ num_entries_opt=' --num-symbols 0'
++ gather_perf_data no stm32 results-vs-first-1 /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1
++ local has_perf_logs=no
++ local hw_tag=stm32
++ local results_dir=results-vs-first-1
++ local csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1
++ '[' xno = xyes ']'
++ mapfile -t this_csv
+++ find results-vs-first-1 -name results.csv -a '!' -path 'results-vs-first-1/csv_results/*'
++ '[' 1 -eq 1 ']'
++ cat results-vs-first-1/dev-01.tcwglab/results.csv
++ cut -d, -f 1-3
++ '[' xno = xyes ']'
++ bmk_name=coremark
++ metrics=("${metrics[@]:1}")
++ merge_opts=("$csv_results_dir/perf.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/size-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/size.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/perf.csv --results_dir results-vs-first-1
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/vect-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/vect.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/perf.csv --results_dir results-vs-first-1
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/sve-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/sve.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/perf.csv --results_dir results-vs-first-1
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/md5sum-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/md5sum.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/perf.csv --results_dir results-vs-first-1
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/merge-metric-csvs.py /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/perf.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/size.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/vect.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/sve.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/md5sum.csv
++ csvs=' /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/results.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/results.csv'
++ num=2
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/csvs2table.py -p 10 --relative /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/results.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/results.csv
++ cat /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/results-vs-first-internal.csv
++ c++filt -p
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/csvs2table.py -p 0 --relative /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/results.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/results.csv
++ c++filt -p
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/csvs2table.py -p 99 --relative /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/results.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/results.csv
++ c++filt -p
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/compare-results.py -p 10 /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-0/results.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-first-1/results.csv
diff --git a/09-check_regression/results-vs-prev-brief.csv b/09-check_regression/results-vs-prev-brief.csv
new file mode 100644
index 0000000..c069e86
--- /dev/null
+++ b/09-check_regression/results-vs-prev-brief.csv
@@ -0,0 +1,106 @@
+benchmark,symbol,csv-results-vs-prev-1/results:rel_sample,csv-results-vs-prev-1/results:rel_size,csv-results-vs-prev-1/results:rel_num_vect_loops,csv-results-vs-prev-1/results:rel_num_sve_loops,csv-results-vs-prev-1/results:rel_symbol_md5sum,csv-results-vs-prev-0/results:sample,csv-results-vs-prev-1/results:sample,csv-results-vs-prev-0/results:size,csv-results-vs-prev-1/results:size,csv-results-vs-prev-0/results:num_vect_loops,csv-results-vs-prev-1/results:num_vect_loops,csv-results-vs-prev-0/results:num_sve_loops,csv-results-vs-prev-1/results:num_sve_loops,csv-results-vs-prev-0/results:symbol_md5sum,csv-results-vs-prev-1/results:symbol_md5sum
+Coremark,[.] abort ,n/a,100,n/a,n/a,n/a,-1,-1,14,14,-1,-1,-1,-1,-1,-1
+Coremark,[.] ADC1_2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] ADC3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __adddf3 ,n/a,100,n/a,n/a,n/a,-1,-1,630,630,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_cdcmpeq ,n/a,100,n/a,n/a,n/a,-1,-1,16,16,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_cdcmple ,n/a,100,n/a,n/a,n/a,-1,-1,16,16,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_cdrcmple ,n/a,100,n/a,n/a,n/a,-1,-1,32,32,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_d2iz ,n/a,100,n/a,n/a,n/a,-1,-1,78,78,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_d2uiz ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dadd ,n/a,100,n/a,n/a,n/a,-1,-1,630,630,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpeq ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpge ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpgt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmple ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmplt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpun ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ddiv ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dmul ,n/a,100,n/a,n/a,n/a,-1,-1,596,596,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dsub ,n/a,100,n/a,n/a,n/a,-1,-1,634,634,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_f2d ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_i2d ,n/a,100,n/a,n/a,n/a,-1,-1,34,34,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_l2d ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ui2d ,n/a,100,n/a,n/a,n/a,-1,-1,30,30,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ul2d ,n/a,100,n/a,n/a,n/a,-1,-1,106,106,-1,-1,-1,-1,-1,-1
+Coremark,[.] __any_on ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,42,42,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,26,26,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert ,n/a,100,n/a,n/a,n/a,-1,-1,10,10,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert_func ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] atexit ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __b2d ,n/a,100,n/a,n/a,n/a,-1,-1,188,188,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Balloc ,n/a,100,n/a,n/a,n/a,-1,-1,76,76,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Bfree ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] BusFault_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] calc_func ,n/a,100,n/a,n/a,n/a,-1,-1,4088,4088,-1,-1,-1,-1,-1,-1
+Coremark,[.] __call_exitprocs ,n/a,100,n/a,n/a,n/a,-1,-1,176,176,-1,-1,-1,-1,-1,-1
+Coremark,[.] _calloc_r ,n/a,100,n/a,n/a,n/a,-1,-1,108,108,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_SCE_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_TX_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] cleanup_stdio ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close_r ,n/a,100,n/a,n/a,n/a,-1,-1,36,36,-1,-1,-1,-1,-1,-1
+Coremark,[.] __cmpdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] COMP_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __copybits ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_bench_list ,n/a,100,n/a,n/a,n/a,-1,-1,1504,1504,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_state_transition ,n/a,100,n/a,n/a,n/a,-1,-1,436,436,-1,-1,-1,-1,-1,-1
+Coremark,[.] crcu16 ,n/a,100,n/a,n/a,n/a,-1,-1,280,280,-1,-1,-1,-1,-1,-1
+Coremark,[.] currentlocale ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __d2b ,n/a,100,n/a,n/a,n/a,-1,-1,192,192,-1,-1,-1,-1,-1,-1
+Coremark,[.] DebugMon_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] Default_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __divdf3 ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] _dtoa_r ,n/a,100,n/a,n/a,n/a,-1,-1,3716,3716,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_lock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_unlock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eqdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] __errno ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,190,190,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,124,124,-1,-1,-1,-1,-1,-1
+Coremark,[.] _exit ,n/a,100,n/a,n/a,n/a,-1,-1,20,20,-1,-1,-1,-1,-1,-1
+Coremark,[.] exit ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __extendsfdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI15_10_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI9_5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] fclose ,n/a,100,n/a,n/a,n/a,-1,-1,16,16,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fclose_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fflush ,n/a,100,n/a,n/a,n/a,-1,-1,72,72,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fflush_r ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] _findenv_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fiprintf ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fiprintf_r ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,78,78,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixunsdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Mean,mean ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+coremark,coremark ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
diff --git a/09-check_regression/results-vs-prev-compare.csv b/09-check_regression/results-vs-prev-compare.csv
new file mode 100644
index 0000000..c08e431
--- /dev/null
+++ b/09-check_regression/results-vs-prev-compare.csv
@@ -0,0 +1,92 @@
+bmk,symbol,result
+Coremark,[.] __aeabi_d2uiz ,1
+Coremark,[.] __aeabi_dadd ,1
+Coremark,[.] __aeabi_dcmpeq ,1
+Coremark,[.] __aeabi_dcmpge ,1
+Coremark,[.] __aeabi_dcmpgt ,1
+Coremark,[.] __aeabi_dcmple ,1
+Coremark,[.] __aeabi_dcmplt ,1
+Coremark,[.] __aeabi_dcmpun ,1
+Coremark,[.] __aeabi_ddiv ,1
+Coremark,[.] __aeabi_dmul ,1
+Coremark,[.] __aeabi_dsub ,1
+Coremark,[.] __aeabi_f2d ,1
+Coremark,[.] __aeabi_i2d ,1
+Coremark,[.] __aeabi_l2d ,1
+Coremark,[.] __aeabi_ui2d ,1
+Coremark,[.] __aeabi_ul2d ,1
+Coremark,[.] __any_on ,1
+Coremark,[.] __ascii_mbtowc ,1
+Coremark,[.] __ascii_wctomb ,1
+Coremark,[.] __assert ,1
+Coremark,[.] __assert_func ,1
+Coremark,[.] atexit ,1
+Coremark,[.] __b2d ,1
+Coremark,[.] _Balloc ,1
+Coremark,[.] _Bfree ,1
+Coremark,[.] BusFault_Handler ,1
+Coremark,[.] calc_func ,1
+Coremark,[.] __call_exitprocs ,1
+Coremark,[.] _calloc_r ,1
+Coremark,[.] CAN1_RX0_IRQHandler ,1
+Coremark,[.] CAN1_RX1_IRQHandler ,1
+Coremark,[.] CAN1_SCE_IRQHandler ,1
+Coremark,[.] CAN1_TX_IRQHandler ,1
+Coremark,[.] cleanup_stdio ,1
+Coremark,[.] _close ,1
+Coremark,[.] _close_r ,1
+Coremark,[.] __cmpdf2 ,1
+Coremark,[.] COMP_IRQHandler ,1
+Coremark,[.] __copybits ,1
+Coremark,[.] core_bench_list ,1
+Coremark,[.] core_state_transition ,1
+Coremark,[.] crcu16 ,1
+Coremark,[.] currentlocale ,1
+Coremark,[.] __d2b ,1
+Coremark,[.] DebugMon_Handler ,1
+Coremark,[.] Default_Handler ,1
+Coremark,[.] DFSDM1_FLT0_IRQHandler ,1
+Coremark,[.] DFSDM1_FLT1_IRQHandler ,1
+Coremark,[.] DFSDM1_FLT2_IRQHandler ,1
+Coremark,[.] DFSDM1_FLT3_IRQHandler ,1
+Coremark,[.] __divdf3 ,1
+Coremark,[.] DMA1_Channel1_IRQHandler ,1
+Coremark,[.] DMA1_Channel2_IRQHandler ,1
+Coremark,[.] DMA1_Channel3_IRQHandler ,1
+Coremark,[.] DMA1_Channel4_IRQHandler ,1
+Coremark,[.] DMA1_Channel5_IRQHandler ,1
+Coremark,[.] DMA1_Channel6_IRQHandler ,1
+Coremark,[.] DMA1_Channel7_IRQHandler ,1
+Coremark,[.] DMA2_Channel1_IRQHandler ,1
+Coremark,[.] DMA2_Channel2_IRQHandler ,1
+Coremark,[.] DMA2_Channel3_IRQHandler ,1
+Coremark,[.] DMA2_Channel4_IRQHandler ,1
+Coremark,[.] DMA2_Channel5_IRQHandler ,1
+Coremark,[.] DMA2_Channel6_IRQHandler ,1
+Coremark,[.] DMA2_Channel7_IRQHandler ,1
+Coremark,[.] _dtoa_r ,1
+Coremark,[.] __env_lock ,1
+Coremark,[.] __env_unlock ,1
+Coremark,[.] __eqdf2 ,1
+Coremark,[.] __errno ,1
+Coremark,[.] __eucjp_mbtowc ,1
+Coremark,[.] __eucjp_wctomb ,1
+Coremark,[.] _exit ,1
+Coremark,[.] exit ,1
+Coremark,[.] __extendsfdf2 ,1
+Coremark,[.] EXTI0_IRQHandler ,1
+Coremark,[.] EXTI15_10_IRQHandler ,1
+Coremark,[.] EXTI1_IRQHandler ,1
+Coremark,[.] EXTI2_IRQHandler ,1
+Coremark,[.] EXTI3_IRQHandler ,1
+Coremark,[.] EXTI4_IRQHandler ,1
+Coremark,[.] EXTI9_5_IRQHandler ,1
+Coremark,[.] fclose ,1
+Coremark,[.] _fclose_r ,1
+Coremark,[.] fflush ,1
+Coremark,[.] _fflush_r ,1
+Coremark,[.] _findenv_r ,1
+Coremark,[.] fiprintf ,1
+Coremark,[.] _fiprintf_r ,1
+Coremark,[.] __fixdfsi ,1
+Coremark,[.] __fixunsdfsi ,1
diff --git a/09-check_regression/results-vs-prev-full.csv b/09-check_regression/results-vs-prev-full.csv
new file mode 100644
index 0000000..49d32d5
--- /dev/null
+++ b/09-check_regression/results-vs-prev-full.csv
@@ -0,0 +1,7 @@
+benchmark,symbol,csv-results-vs-prev-1/results:rel_sample,csv-results-vs-prev-1/results:rel_size,csv-results-vs-prev-1/results:rel_num_vect_loops,csv-results-vs-prev-1/results:rel_num_sve_loops,csv-results-vs-prev-1/results:rel_symbol_md5sum,csv-results-vs-prev-0/results:sample,csv-results-vs-prev-1/results:sample,csv-results-vs-prev-0/results:size,csv-results-vs-prev-1/results:size,csv-results-vs-prev-0/results:num_vect_loops,csv-results-vs-prev-1/results:num_vect_loops,csv-results-vs-prev-0/results:num_sve_loops,csv-results-vs-prev-1/results:num_sve_loops,csv-results-vs-prev-0/results:symbol_md5sum,csv-results-vs-prev-1/results:symbol_md5sum
+Mean,mean ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+coremark,coremark ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
diff --git a/09-check_regression/results-vs-prev-internal.csv b/09-check_regression/results-vs-prev-internal.csv
new file mode 100644
index 0000000..d640124
--- /dev/null
+++ b/09-check_regression/results-vs-prev-internal.csv
@@ -0,0 +1,98 @@
+benchmark,symbol,csv-results-vs-prev-1/results:rel_sample,csv-results-vs-prev-1/results:rel_size,csv-results-vs-prev-1/results:rel_num_vect_loops,csv-results-vs-prev-1/results:rel_num_sve_loops,csv-results-vs-prev-1/results:rel_symbol_md5sum,csv-results-vs-prev-0/results:sample,csv-results-vs-prev-1/results:sample,csv-results-vs-prev-0/results:size,csv-results-vs-prev-1/results:size,csv-results-vs-prev-0/results:num_vect_loops,csv-results-vs-prev-1/results:num_vect_loops,csv-results-vs-prev-0/results:num_sve_loops,csv-results-vs-prev-1/results:num_sve_loops,csv-results-vs-prev-0/results:symbol_md5sum,csv-results-vs-prev-1/results:symbol_md5sum
+Coremark,[.] __aeabi_d2uiz ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dadd ,n/a,100,n/a,n/a,n/a,-1,-1,630,630,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpeq ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpge ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpgt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmple ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmplt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpun ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ddiv ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dmul ,n/a,100,n/a,n/a,n/a,-1,-1,596,596,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dsub ,n/a,100,n/a,n/a,n/a,-1,-1,634,634,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_f2d ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_i2d ,n/a,100,n/a,n/a,n/a,-1,-1,34,34,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_l2d ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ui2d ,n/a,100,n/a,n/a,n/a,-1,-1,30,30,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ul2d ,n/a,100,n/a,n/a,n/a,-1,-1,106,106,-1,-1,-1,-1,-1,-1
+Coremark,[.] __any_on ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,42,42,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,26,26,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert ,n/a,100,n/a,n/a,n/a,-1,-1,10,10,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert_func ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] atexit ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __b2d ,n/a,100,n/a,n/a,n/a,-1,-1,188,188,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Balloc ,n/a,100,n/a,n/a,n/a,-1,-1,76,76,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Bfree ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] BusFault_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] calc_func ,n/a,100,n/a,n/a,n/a,-1,-1,4088,4088,-1,-1,-1,-1,-1,-1
+Coremark,[.] __call_exitprocs ,n/a,100,n/a,n/a,n/a,-1,-1,176,176,-1,-1,-1,-1,-1,-1
+Coremark,[.] _calloc_r ,n/a,100,n/a,n/a,n/a,-1,-1,108,108,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_SCE_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_TX_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] cleanup_stdio ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close_r ,n/a,100,n/a,n/a,n/a,-1,-1,36,36,-1,-1,-1,-1,-1,-1
+Coremark,[.] __cmpdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] COMP_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __copybits ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_bench_list ,n/a,100,n/a,n/a,n/a,-1,-1,1504,1504,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_state_transition ,n/a,100,n/a,n/a,n/a,-1,-1,436,436,-1,-1,-1,-1,-1,-1
+Coremark,[.] crcu16 ,n/a,100,n/a,n/a,n/a,-1,-1,280,280,-1,-1,-1,-1,-1,-1
+Coremark,[.] currentlocale ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __d2b ,n/a,100,n/a,n/a,n/a,-1,-1,192,192,-1,-1,-1,-1,-1,-1
+Coremark,[.] DebugMon_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] Default_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __divdf3 ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] _dtoa_r ,n/a,100,n/a,n/a,n/a,-1,-1,3716,3716,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_lock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_unlock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eqdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] __errno ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,190,190,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,124,124,-1,-1,-1,-1,-1,-1
+Coremark,[.] _exit ,n/a,100,n/a,n/a,n/a,-1,-1,20,20,-1,-1,-1,-1,-1,-1
+Coremark,[.] exit ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __extendsfdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI15_10_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI9_5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] fclose ,n/a,100,n/a,n/a,n/a,-1,-1,16,16,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fclose_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fflush ,n/a,100,n/a,n/a,n/a,-1,-1,72,72,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fflush_r ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] _findenv_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fiprintf ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fiprintf_r ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,78,78,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixunsdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Mean,mean ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+coremark,coremark ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
diff --git a/09-check_regression/results-vs-prev.csv b/09-check_regression/results-vs-prev.csv
new file mode 100644
index 0000000..d640124
--- /dev/null
+++ b/09-check_regression/results-vs-prev.csv
@@ -0,0 +1,98 @@
+benchmark,symbol,csv-results-vs-prev-1/results:rel_sample,csv-results-vs-prev-1/results:rel_size,csv-results-vs-prev-1/results:rel_num_vect_loops,csv-results-vs-prev-1/results:rel_num_sve_loops,csv-results-vs-prev-1/results:rel_symbol_md5sum,csv-results-vs-prev-0/results:sample,csv-results-vs-prev-1/results:sample,csv-results-vs-prev-0/results:size,csv-results-vs-prev-1/results:size,csv-results-vs-prev-0/results:num_vect_loops,csv-results-vs-prev-1/results:num_vect_loops,csv-results-vs-prev-0/results:num_sve_loops,csv-results-vs-prev-1/results:num_sve_loops,csv-results-vs-prev-0/results:symbol_md5sum,csv-results-vs-prev-1/results:symbol_md5sum
+Coremark,[.] __aeabi_d2uiz ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dadd ,n/a,100,n/a,n/a,n/a,-1,-1,630,630,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpeq ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpge ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpgt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmple ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmplt ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dcmpun ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ddiv ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dmul ,n/a,100,n/a,n/a,n/a,-1,-1,596,596,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_dsub ,n/a,100,n/a,n/a,n/a,-1,-1,634,634,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_f2d ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_i2d ,n/a,100,n/a,n/a,n/a,-1,-1,34,34,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_l2d ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ui2d ,n/a,100,n/a,n/a,n/a,-1,-1,30,30,-1,-1,-1,-1,-1,-1
+Coremark,[.] __aeabi_ul2d ,n/a,100,n/a,n/a,n/a,-1,-1,106,106,-1,-1,-1,-1,-1,-1
+Coremark,[.] __any_on ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,42,42,-1,-1,-1,-1,-1,-1
+Coremark,[.] __ascii_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,26,26,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert ,n/a,100,n/a,n/a,n/a,-1,-1,10,10,-1,-1,-1,-1,-1,-1
+Coremark,[.] __assert_func ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] atexit ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __b2d ,n/a,100,n/a,n/a,n/a,-1,-1,188,188,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Balloc ,n/a,100,n/a,n/a,n/a,-1,-1,76,76,-1,-1,-1,-1,-1,-1
+Coremark,[.] _Bfree ,n/a,100,n/a,n/a,n/a,-1,-1,18,18,-1,-1,-1,-1,-1,-1
+Coremark,[.] BusFault_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] calc_func ,n/a,100,n/a,n/a,n/a,-1,-1,4088,4088,-1,-1,-1,-1,-1,-1
+Coremark,[.] __call_exitprocs ,n/a,100,n/a,n/a,n/a,-1,-1,176,176,-1,-1,-1,-1,-1,-1
+Coremark,[.] _calloc_r ,n/a,100,n/a,n/a,n/a,-1,-1,108,108,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_RX1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_SCE_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] CAN1_TX_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] cleanup_stdio ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _close_r ,n/a,100,n/a,n/a,n/a,-1,-1,36,36,-1,-1,-1,-1,-1,-1
+Coremark,[.] __cmpdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] COMP_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __copybits ,n/a,100,n/a,n/a,n/a,-1,-1,90,90,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_bench_list ,n/a,100,n/a,n/a,n/a,-1,-1,1504,1504,-1,-1,-1,-1,-1,-1
+Coremark,[.] core_state_transition ,n/a,100,n/a,n/a,n/a,-1,-1,436,436,-1,-1,-1,-1,-1,-1
+Coremark,[.] crcu16 ,n/a,100,n/a,n/a,n/a,-1,-1,280,280,-1,-1,-1,-1,-1,-1
+Coremark,[.] currentlocale ,n/a,100,n/a,n/a,n/a,-1,-1,92,92,-1,-1,-1,-1,-1,-1
+Coremark,[.] __d2b ,n/a,100,n/a,n/a,n/a,-1,-1,192,192,-1,-1,-1,-1,-1,-1
+Coremark,[.] DebugMon_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] Default_Handler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DFSDM1_FLT3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __divdf3 ,n/a,100,n/a,n/a,n/a,-1,-1,464,464,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA1_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel6_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] DMA2_Channel7_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] _dtoa_r ,n/a,100,n/a,n/a,n/a,-1,-1,3716,3716,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_lock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __env_unlock ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eqdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,122,122,-1,-1,-1,-1,-1,-1
+Coremark,[.] __errno ,n/a,100,n/a,n/a,n/a,-1,-1,12,12,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_mbtowc ,n/a,100,n/a,n/a,n/a,-1,-1,190,190,-1,-1,-1,-1,-1,-1
+Coremark,[.] __eucjp_wctomb ,n/a,100,n/a,n/a,n/a,-1,-1,124,124,-1,-1,-1,-1,-1,-1
+Coremark,[.] _exit ,n/a,100,n/a,n/a,n/a,-1,-1,20,20,-1,-1,-1,-1,-1,-1
+Coremark,[.] exit ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __extendsfdf2 ,n/a,100,n/a,n/a,n/a,-1,-1,66,66,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI0_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI15_10_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI1_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI2_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI3_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI4_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] EXTI9_5_IRQHandler ,n/a,100,n/a,n/a,n/a,-1,-1,2,2,-1,-1,-1,-1,-1,-1
+Coremark,[.] fclose ,n/a,100,n/a,n/a,n/a,-1,-1,16,16,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fclose_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fflush ,n/a,100,n/a,n/a,n/a,-1,-1,72,72,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fflush_r ,n/a,100,n/a,n/a,n/a,-1,-1,44,44,-1,-1,-1,-1,-1,-1
+Coremark,[.] _findenv_r ,n/a,100,n/a,n/a,n/a,-1,-1,128,128,-1,-1,-1,-1,-1,-1
+Coremark,[.] fiprintf ,n/a,100,n/a,n/a,n/a,-1,-1,40,40,-1,-1,-1,-1,-1,-1
+Coremark,[.] _fiprintf_r ,n/a,100,n/a,n/a,n/a,-1,-1,28,28,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,78,78,-1,-1,-1,-1,-1,-1
+Coremark,[.] __fixunsdfsi ,n/a,100,n/a,n/a,n/a,-1,-1,64,64,-1,-1,-1,-1,-1,-1
+Mean,mean ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,geomean-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-successful-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+Mean,average-all-tests ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
+coremark,coremark ,100,n/a,n/a,n/a,n/a,14899,14899,-1,-1,-1,-1,-1,-1,-1,-1
diff --git a/09-check_regression/results-vs-prev.log b/09-check_regression/results-vs-prev.log
new file mode 100644
index 0000000..5f85bad
--- /dev/null
+++ b/09-check_regression/results-vs-prev.log
@@ -0,0 +1,210 @@
++ relative_opt=
++ '[' xset = xset ']'
++ relative_opt=--relative
++ results=("$results_ref" "${results[@]}")
++ num=0
++ mkdir -p /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression
++ results_top=bkp-01.tcwglab:/home/tcwg-benchmark/results
++ csvs=
++ for i in "${results[@]}"
++ rsync -az --delete bkp-01.tcwglab:/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/ results-vs-prev-0/
++ csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0
++ mkdir -p /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0
++ hw_tag=stm32
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/gather-metrics.sh --results_dir results-vs-prev-0 --csv_results_dir /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0 --hw_tag stm32 --has_perf_logs no --verbose true --num-symbols 0
+++ dirname /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/gather-metrics.sh
++ bmk_scripts=/home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts
++ results_dir=undef
++ csv_results_dir=undef
++ hw_tag=undef
++ has_perf_logs=undef
++ metrics=()
++ verbose=false
++ test 12 -gt 0
++ case $1 in
++ results_dir=results-vs-prev-0
++ shift
++ shift
++ test 10 -gt 0
++ case $1 in
++ csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0
++ shift
++ shift
++ test 8 -gt 0
++ case $1 in
++ hw_tag=stm32
++ shift
++ shift
++ test 6 -gt 0
++ case $1 in
++ has_perf_logs=no
++ shift
++ shift
++ test 4 -gt 0
++ case $1 in
++ verbose=true
++ shift
++ shift
++ test 2 -gt 0
++ case $1 in
++ num_symbols=0
++ shift
++ shift
++ test 0 -gt 0
++ check_option results-vs-prev-0 'results_dir not set.'
++ local var=results-vs-prev-0
++ local 'msg=results_dir not set.'
++ '[' xresults-vs-prev-0 = xundef ']'
++ check_option /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0 'csv_results_dir not set.'
++ local var=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0
++ local 'msg=csv_results_dir not set.'
++ '[' x/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0 = xundef ']'
++ check_option stm32 'hw_tag not set.'
++ local var=stm32
++ local 'msg=hw_tag not set.'
++ '[' xstm32 = xundef ']'
++ check_option no 'has_perf_logs not set.'
++ local var=no
++ local 'msg=has_perf_logs not set.'
++ '[' xno = xundef ']'
++ '[' 0 = 0 ']'
++ metrics=(perf size vect sve md5sum)
++ mkdir -p /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0
++ true
++ verbose_opt=--verbose
++ num_entries_opt=' --num-symbols 0'
++ gather_perf_data no stm32 results-vs-prev-0 /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0
++ local has_perf_logs=no
++ local hw_tag=stm32
++ local results_dir=results-vs-prev-0
++ local csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0
++ '[' xno = xyes ']'
++ mapfile -t this_csv
+++ find results-vs-prev-0 -name results.csv -a '!' -path 'results-vs-prev-0/csv_results/*'
++ '[' 1 -eq 1 ']'
++ cat results-vs-prev-0/dev-01.tcwglab/results.csv
++ cut -d, -f 1-3
++ '[' xno = xyes ']'
++ bmk_name=coremark
++ metrics=("${metrics[@]:1}")
++ merge_opts=("$csv_results_dir/perf.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/size-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/size.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/perf.csv --results_dir results-vs-prev-0
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/vect-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/vect.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/perf.csv --results_dir results-vs-prev-0
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/sve-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/sve.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/perf.csv --results_dir results-vs-prev-0
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/md5sum-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/md5sum.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/perf.csv --results_dir results-vs-prev-0
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/merge-metric-csvs.py /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/perf.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/size.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/vect.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/sve.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/md5sum.csv
++ csvs=' /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/results.csv'
++ num=1
++ for i in "${results[@]}"
++ rsync -az --delete bkp-01.tcwglab:/home/tcwg-benchmark/results-stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203/ results-vs-prev-1/
++ csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1
++ mkdir -p /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1
++ hw_tag=stm32
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/gather-metrics.sh --results_dir results-vs-prev-1 --csv_results_dir /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1 --hw_tag stm32 --has_perf_logs no --verbose true --num-symbols 0
+++ dirname /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/gather-metrics.sh
++ bmk_scripts=/home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts
++ results_dir=undef
++ csv_results_dir=undef
++ hw_tag=undef
++ has_perf_logs=undef
++ metrics=()
++ verbose=false
++ test 12 -gt 0
++ case $1 in
++ results_dir=results-vs-prev-1
++ shift
++ shift
++ test 10 -gt 0
++ case $1 in
++ csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1
++ shift
++ shift
++ test 8 -gt 0
++ case $1 in
++ hw_tag=stm32
++ shift
++ shift
++ test 6 -gt 0
++ case $1 in
++ has_perf_logs=no
++ shift
++ shift
++ test 4 -gt 0
++ case $1 in
++ verbose=true
++ shift
++ shift
++ test 2 -gt 0
++ case $1 in
++ num_symbols=0
++ shift
++ shift
++ test 0 -gt 0
++ check_option results-vs-prev-1 'results_dir not set.'
++ local var=results-vs-prev-1
++ local 'msg=results_dir not set.'
++ '[' xresults-vs-prev-1 = xundef ']'
++ check_option /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1 'csv_results_dir not set.'
++ local var=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1
++ local 'msg=csv_results_dir not set.'
++ '[' x/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1 = xundef ']'
++ check_option stm32 'hw_tag not set.'
++ local var=stm32
++ local 'msg=hw_tag not set.'
++ '[' xstm32 = xundef ']'
++ check_option no 'has_perf_logs not set.'
++ local var=no
++ local 'msg=has_perf_logs not set.'
++ '[' xno = xundef ']'
++ '[' 0 = 0 ']'
++ metrics=(perf size vect sve md5sum)
++ mkdir -p /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1
++ true
++ verbose_opt=--verbose
++ num_entries_opt=' --num-symbols 0'
++ gather_perf_data no stm32 results-vs-prev-1 /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1
++ local has_perf_logs=no
++ local hw_tag=stm32
++ local results_dir=results-vs-prev-1
++ local csv_results_dir=/home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1
++ '[' xno = xyes ']'
++ mapfile -t this_csv
+++ find results-vs-prev-1 -name results.csv -a '!' -path 'results-vs-prev-1/csv_results/*'
++ '[' 1 -eq 1 ']'
++ cat results-vs-prev-1/dev-01.tcwglab/results.csv
++ cut -d, -f 1-3
++ '[' xno = xyes ']'
++ bmk_name=coremark
++ metrics=("${metrics[@]:1}")
++ merge_opts=("$csv_results_dir/perf.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/size-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/size.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/perf.csv --results_dir results-vs-prev-1
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/vect-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/vect.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/perf.csv --results_dir results-vs-prev-1
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/sve-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/sve.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/perf.csv --results_dir results-vs-prev-1
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ for metric in "${metrics[@]}"
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/md5sum-data-to-csv.py --bmk_name coremark --out_csv_file /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/md5sum.csv --perf_csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/perf.csv --results_dir results-vs-prev-1
++ merge_opts+=("$csv_results_dir/$metric.csv")
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/merge-metric-csvs.py /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/perf.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/size.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/vect.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/sve.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/md5sum.csv
++ csvs=' /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/results.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/results.csv'
++ num=2
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/csvs2table.py -p 10 --relative /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/results.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/results.csv
++ cat /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/results-vs-prev-internal.csv
++ c++filt -p
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/csvs2table.py -p 0 --relative /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/results.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/results.csv
++ c++filt -p
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/csvs2table.py -p 99 --relative /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/results.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/results.csv
++ c++filt -p
++ /home/tcwg-buildslave/workspace/tcwg_bmk_1/jenkins-scripts/../bmk-scripts/compare-results.py -p 10 /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-0/results.csv /home/tcwg-buildslave/workspace/tcwg_bmk_1/artifacts/09-check_regression/csv-results-vs-prev-1/results.csv
diff --git a/10-update_baseline/console.log b/10-update_baseline/console.log
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/10-update_baseline/console.log
diff --git a/dashboard/dashboard-generate.sh b/dashboard/dashboard-generate.sh
new file mode 100755
index 0000000..e858897
--- /dev/null
+++ b/dashboard/dashboard-generate.sh
@@ -0,0 +1,17 @@
+#!/bin/bash
+
+top_artifacts=$(dirname $0)/..
+jenkins_scripts=jenkins-scripts
+
+if [ ! -d $jenkins_scripts ]; then
+ git clone https://git.linaro.org/toolchain/jenkins-scripts
+fi
+
+"$jenkins_scripts/dashboard-generate-squad.sh" \
+ --top_artifacts "$top_artifacts" \
+ --baseline_branch "linaro-local/ci/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO" \
+ --components "binutils gcc newlib" \
+ --run_date "2023-03-26T00:16:45+00:00" \
+ --relative_results true \
+ --squad_mode "vs-first"
+
diff --git a/dashboard/dashboard-push.sh b/dashboard/dashboard-push.sh
new file mode 100755
index 0000000..75c0caa
--- /dev/null
+++ b/dashboard/dashboard-push.sh
@@ -0,0 +1,7 @@
+#!/bin/bash
+
+if [ -e $(dirname $0)/../dashboard/squad-vs-first/dashboard-push-squad.sh ]; then
+ $(dirname $0)/../dashboard/squad-vs-first/dashboard-push-squad.sh &
+ wait $! || true
+fi
+
diff --git a/dashboard/squad-vs-first/Coremark/results-functional.json b/dashboard/squad-vs-first/Coremark/results-functional.json
new file mode 100644
index 0000000..9daec28
--- /dev/null
+++ b/dashboard/squad-vs-first/Coremark/results-functional.json
@@ -0,0 +1,4 @@
+{
+ "build" : "pass",
+ "run" : "pass"
+}
diff --git a/dashboard/squad-vs-first/Coremark/results-metadata.json b/dashboard/squad-vs-first/Coremark/results-metadata.json
new file mode 100644
index 0000000..ade91c7
--- /dev/null
+++ b/dashboard/squad-vs-first/Coremark/results-metadata.json
@@ -0,0 +1,10 @@
+{
+ " job_status": "[TCWG CI] No change after baseline build: no new commits",
+ " details": "https://git-us.linaro.org/toolchain/ci/base-artifacts.git/plain/mail/mail-body.txt?h=linaro-local/ci/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO&id=#BASE-ARTIFACTS-REV#",
+ "datetime": "2023-03-26T00:16:45+00:00",
+ "build_url": "https://ci.linaro.org/job/tcwg_bmk-code_size-coremark--gnu_eabi-arm_eabi-master-O3_LTO-build/1/",
+ "build_log": "https://ci.linaro.org/job/tcwg_bmk-code_size-coremark--gnu_eabi-arm_eabi-master-O3_LTO-build/1/console",
+ "version_binutils":"bc8b216886e01cf6269b72c12e1a92f43b70efc1",
+ "version_gcc": "810b924f75df6abb5235796697249072dbd9affd",
+ "artifact_results": "https://git-us.linaro.org/toolchain/ci/base-artifacts.git/tree/?h=linaro-local/ci/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO&id=#BASE-ARTIFACTS-REV#"
+}
diff --git a/dashboard/squad-vs-first/Coremark/results-metrics.json b/dashboard/squad-vs-first/Coremark/results-metrics.json
new file mode 100644
index 0000000..8ec7125
--- /dev/null
+++ b/dashboard/squad-vs-first/Coremark/results-metrics.json
@@ -0,0 +1,3 @@
+{
+ "size" : "0"
+}
diff --git a/dashboard/squad-vs-first/Mean/results-functional.json b/dashboard/squad-vs-first/Mean/results-functional.json
new file mode 100644
index 0000000..9daec28
--- /dev/null
+++ b/dashboard/squad-vs-first/Mean/results-functional.json
@@ -0,0 +1,4 @@
+{
+ "build" : "pass",
+ "run" : "pass"
+}
diff --git a/dashboard/squad-vs-first/Mean/results-metadata.json b/dashboard/squad-vs-first/Mean/results-metadata.json
new file mode 100644
index 0000000..ade91c7
--- /dev/null
+++ b/dashboard/squad-vs-first/Mean/results-metadata.json
@@ -0,0 +1,10 @@
+{
+ " job_status": "[TCWG CI] No change after baseline build: no new commits",
+ " details": "https://git-us.linaro.org/toolchain/ci/base-artifacts.git/plain/mail/mail-body.txt?h=linaro-local/ci/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO&id=#BASE-ARTIFACTS-REV#",
+ "datetime": "2023-03-26T00:16:45+00:00",
+ "build_url": "https://ci.linaro.org/job/tcwg_bmk-code_size-coremark--gnu_eabi-arm_eabi-master-O3_LTO-build/1/",
+ "build_log": "https://ci.linaro.org/job/tcwg_bmk-code_size-coremark--gnu_eabi-arm_eabi-master-O3_LTO-build/1/console",
+ "version_binutils":"bc8b216886e01cf6269b72c12e1a92f43b70efc1",
+ "version_gcc": "810b924f75df6abb5235796697249072dbd9affd",
+ "artifact_results": "https://git-us.linaro.org/toolchain/ci/base-artifacts.git/tree/?h=linaro-local/ci/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO&id=#BASE-ARTIFACTS-REV#"
+}
diff --git a/dashboard/squad-vs-first/Mean/results-metrics.json b/dashboard/squad-vs-first/Mean/results-metrics.json
new file mode 100644
index 0000000..0b88754
--- /dev/null
+++ b/dashboard/squad-vs-first/Mean/results-metrics.json
@@ -0,0 +1,3 @@
+{
+ "perf" : "0"
+}
diff --git a/dashboard/squad-vs-first/coremark/results-functional.json b/dashboard/squad-vs-first/coremark/results-functional.json
new file mode 100644
index 0000000..9daec28
--- /dev/null
+++ b/dashboard/squad-vs-first/coremark/results-functional.json
@@ -0,0 +1,4 @@
+{
+ "build" : "pass",
+ "run" : "pass"
+}
diff --git a/dashboard/squad-vs-first/coremark/results-metadata.json b/dashboard/squad-vs-first/coremark/results-metadata.json
new file mode 100644
index 0000000..ade91c7
--- /dev/null
+++ b/dashboard/squad-vs-first/coremark/results-metadata.json
@@ -0,0 +1,10 @@
+{
+ " job_status": "[TCWG CI] No change after baseline build: no new commits",
+ " details": "https://git-us.linaro.org/toolchain/ci/base-artifacts.git/plain/mail/mail-body.txt?h=linaro-local/ci/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO&id=#BASE-ARTIFACTS-REV#",
+ "datetime": "2023-03-26T00:16:45+00:00",
+ "build_url": "https://ci.linaro.org/job/tcwg_bmk-code_size-coremark--gnu_eabi-arm_eabi-master-O3_LTO-build/1/",
+ "build_log": "https://ci.linaro.org/job/tcwg_bmk-code_size-coremark--gnu_eabi-arm_eabi-master-O3_LTO-build/1/console",
+ "version_binutils":"bc8b216886e01cf6269b72c12e1a92f43b70efc1",
+ "version_gcc": "810b924f75df6abb5235796697249072dbd9affd",
+ "artifact_results": "https://git-us.linaro.org/toolchain/ci/base-artifacts.git/tree/?h=linaro-local/ci/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO&id=#BASE-ARTIFACTS-REV#"
+}
diff --git a/dashboard/squad-vs-first/coremark/results-metrics.json b/dashboard/squad-vs-first/coremark/results-metrics.json
new file mode 100644
index 0000000..0b88754
--- /dev/null
+++ b/dashboard/squad-vs-first/coremark/results-metrics.json
@@ -0,0 +1,3 @@
+{
+ "perf" : "0"
+}
diff --git a/dashboard/squad-vs-first/dashboard-push-squad.sh b/dashboard/squad-vs-first/dashboard-push-squad.sh
new file mode 100755
index 0000000..3eeb47a
--- /dev/null
+++ b/dashboard/squad-vs-first/dashboard-push-squad.sh
@@ -0,0 +1,733 @@
+#!/bin/bash
+cd $(dirname $0)
+
+set -ex
+
+squad_server=https://qa-reports.linaro.org/
+
+if ! wget -q -o /dev/null https://qa-reports.linaro.org//tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/; then
+ echo "WARNING: No project under https://qa-reports.linaro.org//tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/"
+ exit 0
+fi
+if [ ! -v TCWG_SQUAD_TOKEN ]; then
+ echo "ERROR: No TCWG_SQUAD_TOKEN defined in your environment"
+ exit 1
+fi
+
+top_artifacts=$(pwd)/../..
+if [ -f $top_artifacts/git/base-artifacts_rev ]; then
+ base_artifacts_rev=$(cat $top_artifacts/git/base-artifacts_rev)
+ sed -i -e "s|#BASE-ARTIFACTS-REV#|$base_artifacts_rev|" */results-metadata.json
+fi
+
+echo "Uploading results to https://qa-reports.linaro.org//tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1"
+
+set +x
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@coremark/results-functional.json \
+ --form metrics=@coremark/results-metrics.json \
+ --form metadata=@coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Coremark/results-functional.json \
+ --form metrics=@Coremark/results-metrics.json \
+ --form metadata=@Coremark/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Coremark
+
+echo "pushing https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Mean"
+curl --silent --header "Authorization: Token $TCWG_SQUAD_TOKEN" \
+ --form tests=@Mean/results-functional.json \
+ --form metrics=@Mean/results-metrics.json \
+ --form metadata=@Mean/results-metadata.json \
+ https://qa-reports.linaro.org//api/submit/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO/1/Mean
+
diff --git a/dashboard/squad-vs-first/summary-annotation.txt b/dashboard/squad-vs-first/summary-annotation.txt
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/dashboard/squad-vs-first/summary-annotation.txt
diff --git a/git/binutils_rev b/git/binutils_rev
new file mode 100644
index 0000000..1460257
--- /dev/null
+++ b/git/binutils_rev
@@ -0,0 +1 @@
+bc8b216886e01cf6269b72c12e1a92f43b70efc1
diff --git a/git/binutils_url b/git/binutils_url
new file mode 100644
index 0000000..1ea5a84
--- /dev/null
+++ b/git/binutils_url
@@ -0,0 +1 @@
+git://sourceware.org/git/binutils-gdb.git
diff --git a/git/gcc_rev b/git/gcc_rev
new file mode 100644
index 0000000..840f334
--- /dev/null
+++ b/git/gcc_rev
@@ -0,0 +1 @@
+810b924f75df6abb5235796697249072dbd9affd
diff --git a/git/gcc_url b/git/gcc_url
new file mode 100644
index 0000000..4baa31b
--- /dev/null
+++ b/git/gcc_url
@@ -0,0 +1 @@
+https://github.com/gcc-mirror/gcc.git
diff --git a/git/newlib_rev b/git/newlib_rev
new file mode 100644
index 0000000..be60b8d
--- /dev/null
+++ b/git/newlib_rev
@@ -0,0 +1 @@
+a97fbb58e209586f92381e90aaec8fb211287938
diff --git a/git/newlib_url b/git/newlib_url
new file mode 100644
index 0000000..9a86b41
--- /dev/null
+++ b/git/newlib_url
@@ -0,0 +1 @@
+git://sourceware.org/git/newlib-cygwin.git
diff --git a/jenkins/dashboard-push.sh b/jenkins/dashboard-push.sh
new file mode 100755
index 0000000..75c0caa
--- /dev/null
+++ b/jenkins/dashboard-push.sh
@@ -0,0 +1,7 @@
+#!/bin/bash
+
+if [ -e $(dirname $0)/../dashboard/squad-vs-first/dashboard-push-squad.sh ]; then
+ $(dirname $0)/../dashboard/squad-vs-first/dashboard-push-squad.sh &
+ wait $! || true
+fi
+
diff --git a/mail/mail-body.txt b/mail/mail-body.txt
new file mode 100644
index 0000000..45682f4
--- /dev/null
+++ b/mail/mail-body.txt
@@ -0,0 +1,13 @@
+After baseline build
+
+Below reproducer instructions can be used to re-build both "first_bad" and "last_good" cross-toolchains used in this bisection. Naturally, the scripts will fail when triggerring benchmarking jobs if you don\'t have access to Linaro TCWG CI.
+
+Configuration:
+- Benchmark: EEMBC CoreMark
+- Toolchain: GCC + Newlib + GNU LD
+- Version: all components were built from their tip of trunk
+- Target: arm-eabi
+- Compiler flags: -O3 -flto -mthumb
+- Hardware:
+
+This benchmarking CI is work-in-progress, and we welcome feedback and suggestions at linaro-toolchain@lists.linaro.org . In our improvement plans is to add support for SPEC CPU2017 benchmarks and provide "perf report/annotate" data behind these reports.
diff --git a/mail/mail-subject.txt b/mail/mail-subject.txt
new file mode 100644
index 0000000..64336ac
--- /dev/null
+++ b/mail/mail-subject.txt
@@ -0,0 +1 @@
+[TCWG CI] No change after baseline build: no new commits
diff --git a/manifest.sh b/manifest.sh
new file mode 100644
index 0000000..eb6832d
--- /dev/null
+++ b/manifest.sh
@@ -0,0 +1,30 @@
+declare -g "jenkins_scripts_rev=ea53665f7249e6e0bebdddc04da3cc440ff35fd4"
+# Artifacts directory
+rr[top_artifacts]="artifacts"
+# Recording parameters to manifest: artifacts/manifest.sh
+rr[ci_project]="tcwg_bmk-code_size-coremark"
+rr[ci_config]="gnu_eabi-arm_eabi-master-O3_LTO"
+declare -g "bmk_branch=master"
+declare -g "scripts_branch=master"
+rr[binutils_git]="git://sourceware.org/git/binutils-gdb.git#bc8b216886e01cf6269b72c12e1a92f43b70efc1"
+rr[gcc_git]="https://github.com/gcc-mirror/gcc.git#810b924f75df6abb5235796697249072dbd9affd"
+rr[newlib_git]="git://sourceware.org/git/newlib-cygwin.git#a97fbb58e209586f92381e90aaec8fb211287938"
+rr[mode]="build"
+rr[update_baseline]="onsuccess"
+declare -g "BUILD_URL=https://ci.linaro.org/job/tcwg_bmk-code_size-coremark--gnu_eabi-arm_eabi-master-O3_LTO-build/1/"
+declare -g "SQUAD_GRP=tcwg"
+# Processed 24 options
+rr[base-artifacts_rev]=406dd14d373a6f52f138c75715bdee7f3a3e4abf
+rr[binutils_url]=git://sourceware.org/git/binutils-gdb.git
+rr[binutils_rev]=bc8b216886e01cf6269b72c12e1a92f43b70efc1
+rr[debug_binutils_date]=1679788807 # 5 hours ago
+rr[gcc_url]=https://github.com/gcc-mirror/gcc.git
+rr[gcc_rev]=810b924f75df6abb5235796697249072dbd9affd
+rr[debug_gcc_date]=1679789805 # 5 hours ago
+rr[newlib_url]=git://sourceware.org/git/newlib-cygwin.git
+rr[newlib_rev]=a97fbb58e209586f92381e90aaec8fb211287938
+rr[debug_newlib_date]=1679780915 # 8 hours ago
+rr[gcc_url]=https://github.com/gcc-mirror/gcc.git
+rr[gcc_rev]=810b924f75df6abb5235796697249072dbd9affd
+rr[debug_gcc_date]=1679789805 # 5 hours ago
+rr[results_date]=1679789805
diff --git a/results b/results
new file mode 100644
index 0000000..141ac8b
--- /dev/null
+++ b/results
@@ -0,0 +1,11 @@
+-10
+# build_abe binutils:
+-9
+# build_abe stage1 -- --set gcc_override_configure=--disable-libsanitizer --set gcc_override_configure=--disable-multilib --set gcc_override_configure=--with-cpu=cortex-m4 --set gcc_override_configure=--with-mode=thumb --set gcc_override_configure=--with-float=hard:
+-8
+# build_abe newlib:
+-6
+# build_abe stage2 -- --set gcc_override_configure=--disable-libsanitizer --set gcc_override_configure=--disable-multilib --set gcc_override_configure=--with-cpu=cortex-m4 --set gcc_override_configure=--with-mode=thumb --set gcc_override_configure=--with-float=hard:
+-5
+# benchmark -- -O3_LTO_mthumb artifacts/results_id:
+1
diff --git a/results_id b/results_id
new file mode 100644
index 0000000..c89f47c
--- /dev/null
+++ b/results_id
@@ -0,0 +1 @@
+stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203
diff --git a/results_id.first b/results_id.first
new file mode 100644
index 0000000..c89f47c
--- /dev/null
+++ b/results_id.first
@@ -0,0 +1 @@
+stm32/tcwg_bmk-code_size-coremark/gnu_eabi-arm_eabi-master-O3_LTO-build/27203